CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 28 27 26 25 24 23 22 21 20 19 18 >>>>

Автор: Александр
При снятом ПЗУ всё тоже самое (как на видео)
Значит процессор. Буду выпаивать. Он зараза не на панельке, как ПЗУ, а впаян :(
А потом ещё где-то найти исправный z-80...
Ну чтож, раз я подписался на это дело, надо идти до конца.
Константин, спасибо Вам за помощь!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 3 декабря 23:14:42 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Александр
//shurup-это я)

Вот внутренности больного -

https://disk.yandex.ru/i/quZPcwK5C6C4Fg

https://disk.yandex.ru/i/xoEei3yX1bjGpQ

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 3 декабря 23:58:59 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Александр
Питание на плате 4,6 вольт.
процессор тёплый, но не горячий

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 4 декабря 00:05:54 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Александр
Спасибо, Константин!
Дело было в питании.
Подсоединил другой блок и всё заработало. Только изображение черно-белое и негативное.
Скорее всего у скарта на телеке не задействованы входы RGB.
https://disk.yandex.ru/i/A7FC8PQJIaDEHA

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 4 декабря 00:49:18 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Анатолий
Здравствуйте.Кто-то может объяснить,как зашить тестовую прошивку(2kb) в микросхему w27c512.Не знаю,как блоками зашивать.Программатор Т-48.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 13:29:47 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Александр, чтобы цвет был, нужно +5В подать на вход 16 SCART:




Анатолий, надо файл прошивки для ПЗУ 27512 подготовить заранее. Например, в командной строке Windows можно сделать так:
for /l %x in (1, 1, 32) do type test48k.bin >> 27512.bin

На выходе получим файл 27512.bin размером 64 килобайта, при условии однократного запуска этой команды.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 15:41:16 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Murat
Здравствуйте. Я вот на ebay вижу детали:
Z80-CPU/Z80A-CPU/Z80B-CPU DIP40 X 1PC
TL712 DIP X 1PC
32K x 8 SRAM DIP32 X 2PCS
64K x 8 EPROM/EEPROM DIP X 1PC
AD724JR PAL/NTSC Encoder SOP X 1PC
AY-3-8912 Sound Generator DIP X 1PC
74HC138 / 74LS138 DIP X 3PCS
74HC32 / 74LS32 DIP X 6PCS
74HC541 / 74LS541 DIP X 1PC
74HC174 / 74LS174 DIP X 2PCS
74HC74 / 74LS74 DIP X 4PCS
74HC08 / 74LS08 DIP X 5PCS
74HC04 / 74LS04 DIP X 1PC
74HC161 / 74LS161 DIP X 3PCS
74HC00 / 74LS00 DIP X 4PCS
74HC4040 / 74LS4040 DIP X 3PCS
74HC86 / 74LS86 DIP X 1PC
74HC253 / 74LS253 DIP X 2PCS
74HC574 / 74LS574 DIP X 3PCS
74HC166 / 74LS166 DIP X 1PC
74HC245 / 74LS245 DIP X 3PCS
74HC257 / 74LS257 DIP X 2PCS

Можно ли их заменить так:

74ALS04 (1533ЛН1) - на 74LS04.
74ALS74 (1533ТМ2) - на 74LS74.
74ALS174 (1533ТМ9) - на 74LS174.
74ALS193 (1533ИЕ7) - на 74LS193.
74ALS02 (1533ЛЕ1) - на 74LS02.
74ALS86 (1533ЛП5) - на 74LS86.
74ALS00 (1533ЛА3) - на 74LS00.
74ALS08 (1533ЛИ1) - на 74LS08.
74ALS32 (1533ЛЛ1) - на 74LS32.
74ALS257 (1533КП11) - на 74LS257.
74ALS298 (1533КП13) - на 74LS298.
74ALS373 (1533ИР22) - на 74LS373.
74ALS165 (1533ИР9) - на 74LS165.
74ALS295 (1533ИР16) - на 74LS295.
74ALS10 (1533ЛА4) - на 74LS10.

Это будет работать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 19:18:12 2023 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Murat, серия К1533/74ALS предпочтительнее. У этих микросхем низкая потребляемая мощность, соотв. они создают меньше шумов по линии питания. Спектрум, собранный на серии 1533/74ALS, как правило, выдает более чистую картинку. Тем не менее, серию К555/74LS тоже можно использовать. Микросхемы серии 74HC - не годятся, т.к. это КМОП, а не ТТЛ (уровни сигналов разные). При выборе процессора надо смотреть на маркировку. Если в маркировке процессора Z80 есть буква "C" (например, Z84C00), то такой процессор не подойдёт, т.к. это КМОП(CMOS) версия процессора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 декабря 11:08:02 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Анатолий
Здравствуйте.Спасибо,Константин,что научили программировать блоками.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 декабря 12:24:08 2023 | ссылка на пост    
Автор: Анатолий
Подскажите,где почитать о программировании пзу с определенного адреса.Программирую микросхему,устанавливаю с 0004000 до 0007FFF,потом считываю-пусто.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 декабря 12:39:35 2023 | ссылка на пост    
Автор: colpamax E-mail: colpamax@mail.ru
Здравствуйте, Константин. Мне очень нравится серия игр Dizzy. Начал проходить все версии подряд, начиная с первой. Дошёл до Dizzy 5 и решил поиграть в вашу версию, которая выложена здесь на сайте. Однако заметил, что в игре есть проблема. Когда доходишь до лепрекона, то с ним не получается поговорить. После разговора ему нужно отдать отдать трилистник. Соответственно я не могу получить от него талисман для дальнейшего прохождения. Можете что-то посоветовать. Ещё один момент - в переводе есть грамматические ошибки. Если Вам интересно их исправить, то я могу написать в каких словах.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 17:40:25 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
colpamax, есть такое дело, в 5-й версии Dizzy для Спектрума 48К диалоги с Лепреконом были удалены (видимо из-за нехватки памяти). Тем не менее, вручить ему предмет можно. Делается это так:
1. кладём трилистник как можно ближе к персонажу
2. помещаем Dizzy поверх Лепрекона, так чтобы он краешком руки доставал трилистник
3. далее заходим в меню выбора предмета и, не выходя из него, бросаем только что подобранный трилистник.

А ошибки да, там могут быть. Часть диалогов я перевёл/переписал самостоятельно, а часть взял из русскоязычной версии Dizzy 5 128К. Штука в том, что не так просто исправить что-то в диалогах именно в этой версии Dizzy. Диалоги там сжаты особым алгоритмом, и чтобы исправить хотя бы одну букву, нужно пересобрать весь массив диалогов. Впрочем, исходники у меня где-то есть на ГМД ;)

Анатолий, если нужно прошить что-то в определенную область ПЗУ, проще, опять же, заранее подготовить полный файл прошивки. Сначала надо считать микросхему ПЗУ (если она чистая, то файл заполнится байтом #FF). Далее просто помещаем нужные данные в требуемое адресное пространство #4000-#7FFF считанного файла прошивки.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 13 декабря 18:52:26 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: colpamax
Большое спасибо!!! Попробую.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 12:07:28 2023 | ссылка на пост    
Автор: Анатолий
Здравствуйте.Зарегистрировался,что бы прикрепить фотографии.Но не вижу здесь ни сообщения,ни фотографий.Или они попадают на другую страницу?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 13:36:39 2023 | ссылка на пост    
Автор: balan_58
Спасибо,Константин.Во всём разобрался,в одном окне программы не правильно указывал адреса.Теперь прошиваю куда хочу.Где то на форуме читал,что после "RESET" появляется чёрный квадрат и через секунду-сиклеровская строка.А что делает компьютер в эту секунду?Хочу понять,какой сигнал куда должен поступить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 14:12:16 2023 | ссылка на пост    
Сообщений: 3
Автор: balan_58
Спасибо,Константин.Во всём разобрался,в одном окне программы не правильно указывал адреса.Теперь прошиваю куда хочу.Где то на форуме читал,что после "RESET" появляется чёрный квадрат и через секунду-сиклеровская строка.А что делает компьютер в эту секунду?Хочу понять,какой сигнал куда должен поступить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 14:13:06 2023 | ссылка на пост    
Сообщений: 3
Автор: Зодчий Алекс
Константин, здравствуйте. Хотелось бы вернуться к вопросу использования Z80C00 (КМОП). Вы уже неоднократно писали, что такой не подойдёт, но мой опыт использования Z80C00 говорит об обратном. В схеме моих "Ленинград 48K" (один на 555 серии, второй на 1533) одинаково хорошо работают как 2764 (ТТЛ) так и 27C64 (КМОП) с процессорами Z0840004PSC (ТТЛ) и Z84C0004PSC (КМОП) в любом сочетании. Об этом можно прочитать в моём комментарии на стр.22 от 25.01.22. Позже заменил 565РУ5Г на импортные TMS4164-15NL (Texas Instruments), так при питании +5В потребляемый ток уменьшился ещё на 40 мА. Cами же мои Спектрумы начинают работать от +4,6В. И это не шутка. О их доработках можно прочитать на стр.21 от 03.10.21.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 22 декабря 21:28:07 2023 | ссылка на пост    
Автор: dzgo
По поводу КМОП процессоров Z80. Видимо влияет еще частота самого процессора. По незнанию купил КМОП Z80 Z84C0008PEC. Из трех таких процессоров на Ленинграде запустился только один. Заказал в Китае Z0840006PSC. Они работают нормально.
И еще по этой теме. В свое время кишиневское НПО Сигнал выпускало клоны Синтез-М. Пишут, что шёл просто огромный процент брака.
Я собираю фотки плат Ленинградов и Композитов. В моей коллекции есть фотки двух плат Синтез-М. На обеих платах впаяны процессоры КМОП CPU Z84C0006PEC. Также на платах присутствуют КМОП микросхемы 561ИЕ10 2 шт.,561ЛА7,561ЛЕ5, 561ЛН1 по 1 шт. Следующая разработка НПО был клон Синтез-2. Он оказался удачным, процент брака был минимальный. У меня в коллекции также есть несколько фоток плат Синтез-2. На всех платах впаяны TTL CPU Z0840004PSC, КР1858ВМ1 и т.п. Из КМОП микросхем в схеме осталось всего одна К561ИЕ10.
Наверное, в Лениградах могут запуститься примерно 30 или даже 50 процентов КМОП CPU Z80, но это уж как повезёт.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 декабря 05:49:40 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
balan_58, при старте Спектрум очищает память с простой проверкой на ошибки, затем заполняет область системных переменных. Более детально это процесс описан в дисассемблированном коде ПЗУ Спектрума: The Complete SPECTRUM ROM DISASSEMBLY

Зодчий Алекс, могу подтвердить слова dzgo. В некоторых клонах, КМОП процессоры запускаются, а в некоторых без дополнительных доработок нет. Т.е. лучше выбирать обычный процессор там, где использование КМОП версии не предусмотрено. Я успешно использовал КМОП версию Z80 в Profi 5 и ATM 6.40. Если хочется использовать именно КМОП Z80, то для стабильной работы системы стоит позаботиться о доработке тактового генератора: https://zx-pk.ru/threads/34087-khoroshij-clock-dlya-zilog-z80.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 декабря 07:05:00 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Зодчий Алекс
dzgo, при сборке своего Ленинграда от напряжения питания +5V уже тогда запускались далеко не все процессоры Z80 даже ТТЛ (Z0840004PSC)! Но при повышении напряжения питания до 5,3–5,5V они запускались уже все! А после установки доп. резистора 1кΩ c выв.6 Z80 на +5V, повысившего уровень сигнала /RAS стали запускаться уже все без исключения Z80 (независимо ТТЛ они или КМОП) от +5V и даже от меньшего напряжения.
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 24 декабря 15:24:35 2023 | ссылка на пост    
Автор: Ranger
А я себе такой спектрум скастрюлил ))))
http://atpilot.ru/Speccy.mov

Полезный комментарий + 2 | Бесполезный комментарий - 0
четверг, 28 декабря 02:11:45 2023 | ссылка на пост    
Автор: Владимир Журавлёв
Здравствуйте Константин, подскажите пожалуйста, куда клавиатуру паять? Точнее какими контактами к каким контактам на плате, если что интересует подключение именно современной (ну, относительно 1998-2005-ого года) клавиатуры. Заранее спасибо за ответ
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 17 января 08:28:56 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, чтобы современную клавиатуру к Спектруму подключить (с разъёмом PS/2, т.е. AT-клавиатуру), нужен дополнительный контроллер, что-нибудь вроде этого:
https://zx-pk.ru/threads/33211-universalnyj-kontroller-ps-2-klaviatury-dlya-kompyuterov-s-matrichnymi-klaviaturami.html

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 18 января 08:50:13 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Владимир Журавлёв
Константин, спасибо, хотелось бы ещё узнать куда подпаивать видеовыход, вход и выход на магнитофон и подойдут ли КР555РУ2 ДЛЯ ПАМЯТИ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 18 января 10:46:48 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Константин Айги (CXEMATEKA.RU)
Если речь именно о "Ленинграде", то все входы-выходы легко найти на монтажной схеме:
https://www.cxemateka.ru/v1/LeningradMONTGray.png

Слева на монтажке:
1. контакт конденсатора C7, обозначенный RD - магнитофонный вход.
2. контакт конденсатора C6, обозначенный WR - магнитофонный выход.
3. коллектор транзистора T1, обозначенный SND - выход на бипер (звук).

Справа внизу:
1. R13, V - видеовыход.
2. R10, B - канал цветности BLUE.
3. R12, G - канал цветности GREEN.
4. R11, R - канал цветности RED.

КР565РУ2 для «Ленинграда» не подойдут, ёмкость не та.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 18 января 13:47:57 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Владимир Журавлёв
ХОРОШО, СПАСИБО Я ТАК ПОНИМАЮ 8 ШТУК КР565РУ5 ЭТО ДЛЯ 48KB, А ДЛЯ 128KB НУЖНО БОЛЬШЕ МИКРОСХЕМ. ВОПРОС В ТОМ,ЛУЧШЕ ДЛЯ РАСШИРЕНИЯ БРАТЬ КР565РУ5 ИЛИ ЖЕ КР565РУ7?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 января 07:33:48 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Константин Айги (CXEMATEKA.RU)
Да, 8 микросхем 565РУ5 устанавливаются в версию Спектрума 48К. Для версии 128К будет достаточно 8-ми микросхем 41256 DRAM или 565РУ7. Если планируется апгрейд памяти, то лучше сразу установить импортные 41256 DRAM (временно заземлив вывод 1 этих микросхем). Можно аналогичным образом использовать и 565РУ7, но схема доработки будет чуть сложнее.

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода для импортной памяти 41256 DRAM (регенерация в 256 циклов):
https://www.cxemateka.ru/v1/128k.png

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода для отечественной памяти 565РУ7 (регенерация в 512 циклов):
https://www.cxemateka.ru/v1/128k_ru7.png

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 19 января 08:42:54 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Владимир Журавлёв
СПАСИБО, ХОТЕЛОСЬ БЫ ЕЩЁ УЗНАТЬ ШТО ЗА ПОРТ РАСШИРЕНИЯ ИСПОЛЬЗУЕТСЯ ЗДЕСЬ https://speccy.info/w/images/6/63/Magic_06_Board.jpg .ИМЕЕТСЯ ТАКОЙ КЛОН, НО ИЗ-ЗА ПРОБЛЕМ С ПОРТОМ РАСШИРЕНИЯ РЕШИЛ СПАЯТЬ ЛЕНИНГРАД, ВЕДЬ ПОД НЕГО ОЧЕНЬ МНОГО СХЕМ ДОРАБОТОК В ИНТЕРНЕТЕ. КСТАТИ БУКВАЛЬНО ВЧЕРА ПОД НЕГО НАШЁЛ БЛОК ПИТАНИЯ, РОДНОЙ КОТОРЫЙ Я СЧИТАЛ УТЕРЯННЫМ. КЛОН КРУТОЙ, ПОДСКАЖИТЕ ПОЖАЛУЙСТА ЕСЛИ ЗНАЕТЕ РАСШИРЕНИЕ ((ИНТЕРЕСУЕТ ФЛОПИК)ЕСЛИ ЧТО НАЗВАНИЕ КЛОНА MAGIC 06)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 января 09:00:01 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Андрей E-mail: kraninandrej@yandex.ru
Смотрел ваш ролик. Есть энтузиасты БК0010 там не только сделали конструктор но разрабатываю новую версию БК0012. https://forum.pk-fpga.ru/viewtopic.php?f=15&t=5378
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 19 января 17:34:35 2024 | ссылка на пост    
Автор: Анатолий
Здравствуйте.Скажите,почему на 20 выводе Z80 нет импульсов?От чего зависит их появление?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 января 15:37:32 2024 | ссылка на пост    
Автор: Анатолий
Здравствуйте.Подскажите,от чего зависит появление импульсов на 20 ноге Z80?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 января 15:42:11 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, вот схема клона Magic-06 (там есть распиновка порта расширения):
https://www.cxemateka.ru/ftp/magic6.zip

Андрей, эти разработки я видел. Моя идея несколько другая. Я планирую не повторять оригинальные схемы ретрокомпьютеров, а разрабатывать свой вариант схем на современных компонентах. Задача непростая, но очень интересная.

Анатолий, низкий уровень на выходе IORQ (Z80-20) формируется при запросе портов ввода-вывода, т.е. когда процессор выполняет команды IN/OUT. При этом также формируются сигналы RD/WR (чтение/запись). Кроме того, IORQ уходит в ноль в цикле подтверждения прерывания, т.е. уходит в ноль с некоторым отставанием от импульса на входе INT (Z80-16):


Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 21 января 18:16:51 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Владимир Журавлёв
Ещё есть вопрос, точнее два. Сразу извиняюсь, ибо мог уже достать своими вопросами, но чем отличается КР565РУ7 от РУ 7Г(Д), А ТАКЖЕ ЧТО ЕСЛИ Я ВОЗЬМУ память такую

и советскую по типу KС573РФ8, КС573PФ4, KC573pф2 u T.Д., И КАКАЯ МЕЖДУ ЭТИМИ ТРЕМЯ РАЗНИЦА? Заранее спасибо за ответ!


Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 января 17:06:49 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Андрей E-mail: kraninandrej@yandex.ru
Так БК0012 и планируется на плисе.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 января 23:22:24 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, КР565РУ7 под разными буквами отличаются временными показателями:
https://www.cxemateka.ru/ftp/k565ru7.pdf
https://www.cxemateka.ru/ftp/kr565ru7_pasp.pdf

При этом КР565РУ7Д1, КР565РУ7Д2 это половинки КР565РУ7, т.е. микросхемы, обладающие вдвое меньшей ёмкостью (131072 бит вместо 262144 бит).

Если есть под рукой программатор, который поддерживает отечественные ПЗУ, тогда есть смысл их использовать. Т.е. тут принципиальной разницы нет.

Андрей, я бы как раз избегал использовать ПЛИС, но в некоторых случаях это оправдано. В частности, для эмуляции функционала некоторых отечественных БМК.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 января 13:42:16 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Дмитрий
Здравствуйте друзья! Прошу помощи для увеличения памяти платы zx spectrum Ленинград 2012. Какие точки для сигналов на этой версии платы соответствуют Ленинград 1 ? Буду использовать плату BC-1 для компьютера Байт.
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 26 января 16:01:12 2024 | ссылка на пост    
Сообщений: 5
Автор: Владимир Журавлёв
Здравствуйте хочу поделиться своей платой (попыткой её создания) читалки для магнитофона. Прошу прощения за грязную схему разводки платы (карандаш нижний слой), недопаянные детали, но всё равно прошу оценить


Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 26 января 19:30:23 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, по доработке "Ленинграда" 2012 до 128К, расклад тут:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p401

Владимир, для первой попытки нормально ) Замечено, что чем тщательней выполняются все этапы от подготовки до травления платы - тем лучше результат. Важно перед включением прозвонить мультиметром все контакты, проверить, нет ли где замыканий и ошибок.

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 27 января 11:00:43 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 306
Автор: Дмитрий
Спасибо большое Константин, меню 128к появилось, но при запуске теста128к пишет ошибка банк-0 сектор-3
записано-00000000
считано-00000100
Что это значит, где искать ошибку?

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 27 января 22:45:21 2024 | ссылка на пост    
Сообщений: 5
Автор: Владимир Журавлёв
Ещё раз здравствуйте, прошу подсказать наименование кварца на схеме СРОЧНО! Заранее Спасибо за ответ
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 января 11:44:31 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 21

<<<< 28 27 26 25 24 23 22 21 20 19 18 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,207,534

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU