CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 25 24 23 22 21 20 19 18 17 16 15 14 >>>>

Автор: Mix E-mail: Smix81@mail.ru
Константин, я правильно ионимаю, что на схеме для скарта GND - везде это минус 5в, а также резисторы r13, r14 r15 надо соединить с минус 5в?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 2 мая 12:24:57 2021 | ссылка на пост    
Автор: Ivan Gagis
я скарт подключал к обчной земле ленинграда, без всяких минусов. Работает. Выходные сигналы всё равно только в плюс идут, у Ленинграда же нету -5 вольт.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 2 мая 13:03:30 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Mix
https://www.cxemateka.ru/ftp/15032021a.jpg
А где в моём случае "земля"? Там, где Константин подписал "7 вывод лн2-земля"?
Мне почему то кажется, что это и еcть минус 5в

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 мая 10:08:20 2021 | ссылка на пост    
Автор: fant777
Константин, добрый день. Из этой книги: https://www.cxemateka.ru/ftp/bdi.zip какую из схем контроллера BDI Вы рекомендуете для Ленинграда-1?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 4 мая 10:42:29 2021 | ссылка на пост    
Сообщений: 3
Автор: igorwit@yandex.ru
Ленинград собран, память увеличена до 128 кБайт, музпрцессор прикручен, контроллер дисковода работает как часы, адаптер VGA тоже присутствует, но полного удовлетворения от проделанной работы нет. Хотелось бы создать небольшую коллекцию программ и игр в оригинальных форматах, что бы грузились на реальном Спектруме, а не на эмуляторах. Но я не программист, с образами ленточных файлов разобрался, перекидываю их потихоньку на дискеты. Очень помогает книга Родионова Н. "Адаптация программ к системе TR-DOS ". Но вот с образами дисков - никак (TRD, SCL). Может есть какие-то способы разобраться с такими файлами? trd2tap почему то у меня не прокатывает. И просьба к Вам, Константин, очень хочется игру "Сеймур на диком западе" в tap формате, желательно с "простым" загрузчиком
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 7 мая 21:58:59 2021 | ссылка на пост    
Автор: Игорь
енинград собран, память увеличена до 128 кБайт, музпрцессор прикручен, контроллер дисковода работает как часы, адаптер VGA тоже присутствует, но полного удовлетворения от проделанной работы нет. Хотелось бы создать небольшую коллекцию программ и игр в оригинальных форматах, что бы грузились на реальном Спектруме, а не на эмуляторах. Но я не программист, с образами ленточных файлов разобрался, перекидываю их потихоньку на дискеты. Очень помогает книга Родионова Н. "Адаптация программ к системе TR-DOS ". Но вот с образами дисков - никак (TRD, SCL). Может есть какие-то способы разобраться с такими файлами? trd2tap почему то у меня не прокатывает. И просьба к Вам, Константин, очень хочется игру "Сеймур на диком западе" в tap формате, желательно с "простым" загрузчиком
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 7 мая 22:03:58 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Ivan Gagis
Mix
Землю можно взять откуда угодно. Если плату перевернуть там будут такие толстые дорожки. Вот они либо +5в либо земля. Опеределить можно прозвонкой на 29-ую ногу процессора.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 8 мая 02:09:56 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: otrazhenie
Доброго дня, Кончтантин.
Дошли наконец руки до контроллера дисковода.
Пересобрал по схеме NOMO FDD, но запутался в сигналах. У меня Ленинград-1 доработанный до 256к.
Схему доработки брал отсюда: https://www.avray.ru/ru/zx-spectrum-256k-upgrade/
Схема контроллера https://zx-pk.ru/threads/30533-dorabotka-nemo-fdi.html
Как я понял сигнал /DOS с выхода контроллера надо инвертировать и подавать на 12 ногу мс5 на схеме расширения. Или снимать в вывода 9 DD14 контроллера. Но тогда у меня Ленин не грузится. Полосатый матрас.
Также не понятно откуда брать сигнал /CSROM и BLK

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 мая 10:40:08 2021 | ссылка на пост    
Сообщений: 10
Автор: danone78
все это очень конечно здорово, НО адресное пространство отведенное под ПЗУ не дает использовать его под ОЗУ. Например в кллассике оперативки 64к, но используются только 48.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 13 июля 15:11:15 2021 | ссылка на пост    
Сообщений: 1
Автор: Александр
Константин, здравствуйте. не подскажете куда копать, есть такая проблем ка, между знакоместами если меняются paper/ink возникает полоска, что может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 16 июля 08:35:45 2021 | ссылка на пост    
Александр
Сообщений: 2
Автор: Дмитрий E-mail: reistlin-mag@mail.ru
Константин здравствуйте. Если не сложно, вышлите пожалуйста схему контроллера ХТ клавиатуры. И прошивки, если там контроллеры. Собрал Пентагон, а клавиатуры нет. Если плата есть, гербер, или рисунок. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 17 июля 20:58:31 2021 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, схемы, прошивки и описание контроллера XT-клавиатуры от Profi тут:
https://www.cxemateka.ru/ftp/profi_xt.zip

Рекомендую также изучить тему:
https://zx-pk.ru/threads/12687-nuzhna-skhema-kontrollera-xt-klaviatury-profi-v5-03.html

Александр, следует проверить сигнал SCR, а именно присутствует ли доработка для корректировки прорисовки линий: https://www.cxemateka.ru/v1/Other.png
Подробнее об этом в книжке «Ленинград-1 наладка и ремонт»: https://www.cxemateka.ru/v1/leningrad-1_naladka_i_remont.rar

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 июля 00:28:34 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Игорь, практически все старые игры и программы можно найти в кассетных образах. Дискетировались они раньше вручную, что совсем несложно в большинстве случаев. Собственно и обратный процесс тоже, как правило, достаточно прост. Однако надо помнить, что некоторые программы изначально разрабатывались под TR-DOS соотв. просто перевести их на ленту не выйдет.

А русифицированной версии игры "Сеймур на диком западе" для ленты и вовсе не было. Однако мне удалось у себя найти исходную версию игры (не сжатую и без интро), сделал из этого tap: https://www.cxemateka.ru/ftp/WILDSEYR.zip

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 июля 16:08:07 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
fant777, любая схема подойдет. Однако, по возможности, лучше избегать вариантов с РТ4. Существенного упрощения схемы они не дают, а вот энергопотребление платы возрастает, что не скажешь о надёжности.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 июля 16:14:13 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Edward
Здравствуйте Константин!
Подскажите в чем может быть проблема с прорисовкой матраса? Плата Ленинград-1. Нет нулевого разряда в полосках, кроме последнего столбца. На MD все нормально, на ИР9 приходит.
https://b.radikal.ru/b17/2107/2a/0b33dd04b5d2.png

Тест ПЗУ отрабатывает без ошибок. И еще при отработке теста видно, что нет нулевого в выводе символов.
https://b.radikal.ru/b03/2107/25/9cd144b07b6f.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 июля 22:38:45 2021 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Edward, надо проверить сигнал SCR. Обычно вносится задержка в этот сигнал, как показано тут ("Корректировка прорисовки линий"): https://www.cxemateka.ru/v1/Other.png Если же задержка будет слишком большая, то как раз и будет подобный сдвиг пикселей вправо.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 26 июля 19:24:26 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Edward
Так и есть. Все решилось установкой RC-цепочки на ИР9 и 1000 пф на SCR. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 29 июля 22:02:07 2021 | ссылка на пост    
Сообщений: 2
Автор: eskoptev
Собрал Спектрум 48к по приведенной схеме. Пытаюсь подключить его к монитору Электроника МС 6105.01. Возникли проблемы с синхронизацией: https://drive.google.com/file/d/1lUypbz3aeQGgcQMhLjK3GLMjbuPQ_5Rj/view?usp=sharing

Кварц 14 МГц. Тактовый генератор доработан (с двумя резисторами 470 Ом). Доработок по синхронизации не делал. В этом проблема?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 19 августа 13:26:16 2021 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
eskoptev, надо приводить к стандарту частоту строк, об этом тут:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 19 августа 16:28:57 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Evgeniy
Константин Айги (CXEMATEKA.RU), на схемах https://www.cxemateka.ru/v1/Other.png представлены те же самые доработки, что и в книге?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 августа 05:29:01 2021 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Evgeniy, некоторые из этих доработок есть в книге, а некоторых нет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 августа 06:24:41 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: SergeyKCA
Добрый день!
В видеоролике и на форуме упоминаются тестовые программы Color128 и Тест_v.4.30. Ссылки для их загрузки в формате TAP или SKL предполагают загрузку с магнитофона или с дисковода.
Есть ли данные программы в формате BIN или ROM для записи в тестовую ПЗУ, что было бы очень полезно для проверки после сборки платы и установки музыкального сопроцессора?
Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 августа 18:58:26 2021 | ссылка на пост    
Сообщений: 8
Автор: Александр
Александр
Сообщений: 11
Автор: SergeyKCA
Добрый день!
На Ленинграде-1 (Зонов) при работе теста после смены бордюров вместо фраз на экран выводится одно или несколько чёрных знакомест в начале экрана. Цветные квадраты и перемигивающиеся полосы выводятся нормально. Судя по тому, что тест не останавливается с чёрным бордюром и нет звукового сигнала, буфер и ОЗУ исправны.
Что можно проверить?
Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 сентября 01:07:21 2021 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
SergeyKCA, Color128 – это не тестовая, а демонстрационная программа (Song In Lines):
https://busy.speccy.cz/tvorba/sil.htm

Там есть коротенькие версии, которые легко вшить в ПЗУ, не нарушив стройность подпрограмм Спектрума. Для примера сделал такой вариант (Song in lines 1k ROM version):
https://www.cxemateka.ru/ftp/sos48k_sil1k.bin

Что касается неправильного прохождения теста, то, похоже, проблема с адресными мультиплексорами D15,D16,D17,D18. В этом случае неплохо бы прогнать длинный тест с заполнением экрана:
http://www.cxemateka.ru/v1/test48k_org.bin

Как этот тест должен проходить, можно посмотреть в любом эмуляторе, заменив основное ПЗУ соотв. прошивкой.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 1 сентября 02:41:17 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: SergeyKCA
Константин:
Спасибо!
Довольно странное прохождение теста-48 (и Ваша версия, и полная) - в первой клетке выскакивает чёрное знакоместо. Также пробовал тест Андрея Хахонова - та же картинка.
Тесты Александра Кормишина и JokeSoft идут нормально - текст отображается.
Тест PLL для AY идёт нормально - звук есть и текст по экрану идёт.
Может, конечно там другой алгоритм... В общем, странное состояние.
В любом случае, Спасибо, буду ставить D15-18 на панели и смотреть "чистые" сигналы и потом с КП11.
SongInLine прошью, посмотрю, надеюсь, хоть линии порадуют во весь экран и с музыкой ;)
Если нужно, образы данных тестов могу выложить - может, кому-то поможет. Или порадует :)

Александр:
Спасибо за версию теста ver.4.30, но там тест шьётся в ПЗУ 512 и нужно её подключение по схеме https://www.cxemateka.ru/v1/Other.png
Попробую.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 сентября 13:08:27 2021 | ссылка на пост    
Сообщений: 8
Автор: SergeyKCA
Констрантин:
Длинный тест с заполнением экрана проходит нормально - и атрибуты очищаются, и линии чертятся правильно. Квадратики правильные и полосы переливаются. Но слова "Буфер исправен" и "ОЗУ исправно" щёлкают только в первое знакоместо, а сам экран чистый, белый.
Буду ставить панельки.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 сентября 13:15:54 2021 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
SergeyKCA, любопытно было бы посмотреть, как выглядят эти надписи. По характеру искажения экрана можно примерно понять, в чём проблема.
Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 1 сентября 15:00:22 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: SergeyKCA
Сообщений: 8
Автор: SergeyKCA
Константин:
Добрый день!
Поставил мультиплексоры КП11 D15-D19, регистры ИР9, ИР16, ИР22 на панельки, проверил их работоспособность на тесте логических элементов в программе XGecu для программатора TL866II Plus - нормальные. Прозвонил все соединения и проверил сигналы осциллографом - всё в наличии, ни обрывов ни залипов.
Заменил микросхемы памяти 41256 на РУ5 - тест пошёл в нормальном начертании символов, но примерно через пол-минуты начинаются сбои. При установке 41256 и РУ7 эффект с выводом текста в 1-е знакоместо тот же, хотя 1-я ноги заземлены.
При замене ПЗУ на системную компьютер выходит в заставку, но тоже как-то нестабильно.
Питание 4,96 В.
Читал, что иногда необходимо задерживать сигнал RAS, идущий к ОЗУ, попробую поиграть с ним.
В любом случае, Спасибо за поддержку!

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 сентября 19:58:23 2021 | ссылка на пост    
Сообщений: 8
Автор: eskoptev
Откорректировал частоту строчной развертки. Пост про проблему писал здесь https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p931

Любопытно, что в книжке https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95
и на картинке https://www.cxemateka.ru/v1/Other.png по-разному предлагается корректировать частоту, те выводы D4, которые предлагается в книжке садить на плюс, на картинке предлагается садить на минус. То что в книжке идет на минус, на картинке идет на плюс. Сделал по книжке, частота пришла в норму. Но со стабилизацией частоты пришлось повозиться. Кадровая частота сразу застабилизировалась после присоединения конденсатора к D6. Проблемы были со строчной, если присоединить любой конденсатор к D4, то строки сверху экрана уползали налево. Более менее победил проблему, присоединив параллельно конденсатору на микросхеме D4 резистор на 4,7 кОм. Конденсаторы по 100 пФ.
Сейчас не могут разобраться с неправильным матрасом. Менял комплект памяти, менял процессор. Эффекта нет. Очевидно, проблема где-то еще.
Фото матраса https://drive.google.com/file/d/1uDsqYvq4Lu8lDrFaLOl2HNtkYLuMrtR2/view?usp=sharing

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 14 сентября 15:20:00 2021 | ссылка на пост    
Сообщений: 3
Автор: SergeyKCA
Добрый день!
Победил неправильный вывод текста. Причиной оказался неисправный процессор. Заменил на оригинальный ZILOG Z084006PSC - всё пришло в норму. Очевидно, подпалил выходы проца статикой от телевизора.
Тесты идут, система грузится, игры играют!
Осталось подсоединить музыкальный сопроцессор, увеличить память до 128к и, может быть, поставить дисковод.
Хотя для "ламповости" и так хватает.
Спасибо всем за помощь и, хотя бы, за сочувствие! ;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 сентября 23:31:46 2021 | ссылка на пост    
Сообщений: 8
Автор: Mix
Здравствуйте. На предыдущей странице Константин выкладывал схемку для скарт разъёма. Вопрос : нужно ли землю подсоединять к разьёму, чтобы она шла в тв ( gnd r, gnd g, gnd b, gnd audio) или они уже изначально в тв с землёй тв запаяны и к ним ненадо от спектрума провод вести?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 сентября 10:42:22 2021 | ссылка на пост    
Автор: Mix
Я имею ввиду 5,9,13,4 контакты на разъёме скарта. Нужно ли их спаять вместе и вести к ним землю от спектрума (или подвод земли и соединение вместе уже выполнены на плате тв)
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 сентября 10:49:43 2021 | ссылка на пост    
Автор: Mix
И ещё вопрос по "земле". У меня на 29 ногу процессора идет "минус 5в". И со стороны пайки на плате толстые дорожки по периметру - они же (-5в). Чё то я боюсь такую "землю" в тв заводить через скарт шнурок. Ниче не сгорит в тв от пяти вольт?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 сентября 11:58:19 2021 | ссылка на пост    
Автор: Mix
Всё!!! Отбой по всем вопросам. Подрубил к 40дюймовому самсунгу через скарт!!! Побежал искать магнитофон со шнуром!!!! Иииииии-хааа!!!!!
Всем спасибо!!!!

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 сентября 10:50:12 2021 | ссылка на пост    
Автор: Mix
Не долго музыка играла. :(
http://file.sampo.ru/337zn2/

Как только начинаю грузить игру- название гразится, а затем начинает всё мигать, мерцать... и в конце загрузки или появляется надпи ь, что нет сигнала (как будто видеокабель вытащили) или черный экран, потом перекошенная картинка игры... один раз даже чтото там выбирал кнопками (джойстик итд), но особо не видно было...

Куда копать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 сентября 13:27:55 2021 | ссылка на пост    
Автор: Mix
На втором тв вообще не подключается серез скарт...
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 сентября 15:54:49 2021 | ссылка на пост    
Автор: Зодчий Алекс
Друзья, приветствую вас! Возможно, что кому-то будет полезен мой личный опыт по доведению до ума
ZX Spectrum 48K Ленинград-1.

1. Первое, что было сделано – это установка доп. резистора 1кОм c +5В на 6 выв. Z80.
Это повысило уровень сигнала RAS до уровня, при котором стали запускаться все Z80.
До этого запускались далеко не все!

2. К выходам RGB были подключены доп. переменные, многооборотные резисторы порядка нескольких кОм
Одни их крайние точки присоединянись к корпусу (–5В), другие крайние к выходам RGB на плате,
а с их движков сигналы подавались на разъём, к которому подключался кабель, идущий к телевизору.
Это позволило очень точно выставить соотношение цветов, для получения чисто белого цвета на экране.

3. Чёрную мерцающую полосу по всей высоте правого края рабочего поля удалось
устранить установкой доп. конденсатора 240 пФ между корпусом и выв. 10
микросхемы D31 (КП13). Сигнал BORDER.

4. Прорисовка правого края окружностей восстановилась установкой доп. RC цепочки к выв.1 D33 (ИР9).

5. При загрузке игр с кассеты очень часто происходил их сброс. На входе стояли микросхемы К140УД6
и К521СА3А. УД6 была заменена на К140УД12. После этого сбросы при загрузке игр стали весьма редки.

6. Уже запущенные игры очень часто самопроизвольно сбрасывались. Стояли две микросхемы ПЗУ 2764.
И, как выяснилось, они были схемно неправильно подключены. После несложных изменений в схеме их включения игры перестали сбрасываться!

7. С этими доработками Spectrum проработал долгие годы, выдавая отличную картинку на телевизоре Sony. Но, новый Panasonic показывать корректно никак не хотел. Строки съезжали и дёргались. Тут мне попался этот сайт с доработками. Укоротил строчный синхроимпульс и сделал привязку к уровню чёрного по приведённой здесь Константином схеме на трёх микросхемах (ЛА1, ЛИ1, ТМ2). Сделал как и он – всего на двух (ЛА4 и ТМ2). Огромное ему спасибо за такую наводку! Картинка на экране стала стабильной.
Только слегка подёргивалась надпись, появляющаяся при включении или после сброса.
Её удалось стабилизировать уменьшением сигнала SYNC ещё одним переменным резистором.

8. Микросхема D36 (КР1533КП11А) была заменена на КР1533КП16.
Это позволило корректно выводить на экран изображения с повышенной яркостью.

9. Рабочее поле на экране было намного смещено влево. Кварц 14 мГц. По приведённой здесь методе
изменил коэффициент пересчёта счётчика D4 (ИЕ7) с 2 на 4. Картинка сдвинулась вправо, но всё-равно левее центра. Лучшие результаты были получены при установке числа 5 на этом счётчике. Картинка стала слегка правее центра, но это смещение оказалось меньшим, чем смещение влево при установке числа 4.
Друзья, вот посмотрите как после всех этих доработок теперь показывает мой Spectrum:
https://youtu.be/PqQpxXFQjUg. Кого заинтересовали изменения в схеме подключения ПЗУ
спрашивайте здесь и в комментариях под видео. Всё подробно распишу. Всем удачи!

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 3 октября 00:35:14 2021 | ссылка на пост    

<<<< 25 24 23 22 21 20 19 18 17 16 15 14 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,157,551

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU