CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Автор: Александр
собрал по схеме на к554са3 хотя у меня на ней и было собрано но другая схема маленько,не помогло
DIZZY 5». Русифицированная версия игры так и не грузится
20170311_224358.jpg
Кстати Константин а что дает увеличение памяти с 48 до 128 ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 марта 22:48:10 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Уровень сигнала имеет значение, можно попробовать поиграть с громкостью входного сигнала.

Да, еще есть смысл проверить мультиметром напряжение на разных участках платы, везде напряжение должно быть не менее 5В. Также стоит обратить внимание на количество блокировочных конденсаторов на плате, если их недостаточно, то вот такие мелкие сбои будут присутствовать.

Если память увеличить до 128k, то можно будет значительно больше программ запускать. Например, версия игры «DIZZY 5» для 128k имеет расширенную графику и дополнительное музыкальное сопровождение (доступно при наличии музыкального сопроцессора).

Полезный комментарий + 2 | Бесполезный комментарий - 0
воскресенье, 12 марта 00:25:03 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
у меня собран на микросхемах т34 и память и проц
возможно поменять память на ру7 или проще вторым этажом еще 8 микросхем ру 5 напаять? и нужна ли новая прошивка в этом случае?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 марта 09:13:32 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Самый простой вариант ру5 на ру7 поменять, а лучше сразу на импортные аналоги (41256/41257 DRAM или MB81256/MB81257 DRAM). Это самый быстрый способ добиться стабильной работы 128k памяти.

С ру5-ми будет больше возни и наладка несколько сложнее.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 12 марта 11:12:57 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
а как на D4 подсоединить перемычки? посмотрел по схеме, 15, 14, 1 и 9 выводы соединяются вместе и подключаются к 8 выводу (масса). Но 10 вывод под самой микросхемой подключён к 9 выводу, т.е. тоже к массе. По схеме указано, что его нужно подключить к +5 вольтам, а в видео видно, что вы его припаяли на 16 вывод микросхемы. Его надо отсоединять от платы или нет?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 марта 02:05:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Я смотрел на 2-й вариант схемы. На первом варианте 10 вывод подключается всё-таки к массе, но 1 вывод используется для питания.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 марта 02:12:01 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, смысл всех этих манипуляций с D4, получить стандартную частоту строк в 15,625 КГц. Если есть чем частоту померить, снимать показания надо с 8-го вывода D40.

Я выводы 1,9,10,15 счетчика D4 не запаивал в плату, а просто укоротил их, соединив затем проводами – 1,9,15 к земле, а вывод 10 к +5В (на 16-й ноге D4 как раз питание).

На разных схемах по-разному эти выводы заведены, т.к. просто схемы составлены под разные кварцы. На моей плате установлен кварц в 14000 КГц. Так вот, если просто соединить выводы D4, по этой схеме http://www.cxemateka.ru/v1/Other.png, но без конденсатора 200 пФ, то частота строк будет примерно 16,200 КГц, с конденсатором же выходит около 15,625 КГц, как и должно быть.

Вот ещё немного теории из книжки «Ленинград-1 наладка и ремонт»:





И вот сама книжка:



Там есть некоторые ошибки и неточности, но в целом книжка дельная. Я по ней когда-то «Ленинград» изучал ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
вторник, 14 марта 18:58:07 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Я просто хочу подключить его к своему монитору - благо vga вход на нём имеется. Нашёл ещё, где строчный и кадровый синхроимпульсы смешиваются - в микросхеме D11 - они поступают на 12 и 13 выводы. Я взял свой vga-разъём, запаял в него выходы "rgb" с компа и с ног D11 взял строчный и кадровый синхроимпульсы. Подключаю и включаю - "квадрат Малевича" и больше ничего! Ни бордюра, ни вертикальных полос, ничего(
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 17:29:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
В любом случае, если не получится, Pal-кодер я всегда спаять успею-у меня парочка неисправных "sega mega drive" завалялась ;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 17:57:58 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Ещё одна проблема - нет кадрового синхроимпулься на 6 ноге D40. Возможно, не работает микросхема D6.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 21:48:41 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
А какая схема читалки с плёнки лучше та что в статье или на ЛН2 ?


Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 23:27:32 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Александр, напрямую никак не получится Спектрум к VGA монитору подключить. Тут нужно что-то вроде этого: http://www.zxkit.ru/katalog-1/zxkit-001

А вот PAL-кодер от Сеги отлично подойдет. Сам когда-то успешно так подключал ;)

Может и D6, а может и нет. Надо проверять что там на входах и выводах этого счетчика.

Toboe, практика показывает, что оба варианта "читалки" со своей задачей нормально справляются (при правильной сборке, разумеется).

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 марта 10:09:41 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Спаял pal-кодер. http://i9.pixs.ru/storage/0/5/0/IMG2017031_2979120_25541050.jpg
Подключил к компу через плату видеозахвата.
При включении выдаёт вот такую вот "шляпу":
http://i9.pixs.ru/storage/1/0/1/IMG2017031_3662748_25541101.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 марта 12:36:47 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Тут одно из двух. Либо что-то не так с PAL-кодером, либо отсутствует синхронизация видеосигнала. Надо проверить наличие синхроимпульса на выводе 6 D11, наличие сигнала на выходе 11 D11, и еще раз проверить состояние кадрового и строчного сигналов – выводы 12 и 13 D11.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 марта 20:01:30 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Toboe
Собрал я значит себе тоже ленинградик, плату нашёл уже современную, модифицированную. Но там по мелочи как я понял она больше всего похожа на схему в этой статье (та что с зарубежными обозначениями микросхем). Ну и естественно она сразу не заработала (у меня всегда так =_=") На экране бегущие широкие чёрные полосы, как я понял нет сигнала КС. В блоке его формирования чёрт ногу сломит. В наличии нет осциллографа только мультик с частотомером до 20КГц. Непропаев вроде нету. Куда копать ХЗ.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 10:09:02 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, после сборки, хорошая тактика проверить сначала наличие питания на всех микросхемах – просто прозвонить по соотв. выводам. У логики DIP14: 7 - земля, 14 - +5В; DIP16: 8 - земля, 16 - +5В; DIP20: 10 - земля, 20 - +5В. У микросхем памяти: 8 - +5В, 16 – земля. Если всё запитано правильно, нужно проверить, не греются ли какие-нибудь микросхемы сильнее обычного. Если какой-то выход микросхем логики закорочен на питание, такие микросхемы будут очень сильно греться. И визуальный осмотр пайки тоже стоит тщательно провести. Я смотрю плату на просвет, используя яркую лампу. Так быстро можно устранить КЗ и прочие мелкие недочеты пайки.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 15:43:34 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Почти сделал. Надо было pal-кодер отрегулировать. Бордюр нормальный, серый и "матрас". Но матрас слишком яркий, на нём видны квадратики, бегущие вверх. И ещё он может не сразу появиться или вообще исчезает, оставив только серый фон бордюра. А когда выключаешь и включаешь, вообще матраса нету, только бордюр.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 19:41:33 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
А вот так матрас выглядит, если он появляется:
http://i11.pixs.ru/storage/3/0/7/IMG2017031_4313699_25559307.jpg
Ещё, если нажимать сброс, квадратики, или вообще весь матрас могут быть фиолетовыми а также изображение сразу после опускания кнопки немного дергается, как будто нет синхроимпульса в начале.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 20:08:42 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
Да питания я в первую очередь проверил, всё приходит. Микросхемы все холодные, ну только про чуть тёплый, и мультиплексоры входов. Но перегрева нет. КЗ тоже не обнаружил. Придется на работу тащить, без осциллографа тут не разобраться.
https://s8.hostingkartinok.com/uploads/images/2017/03/3bd6b8e373b67355be42c587d44aae55.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 21:03:27 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
Решил проблему. Было КЗ между 7 выводом и дорожкой, идущей к 1 выводу микросхемы D7 (561ие10). Теперь "матрас" отображается стабильно, но изображение медленно идёт вверх, как бы намекая на слишком большой или слишком маленький кадровый синхроимпульс.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 20 марта 13:18:28 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Товарищи, скоро я к вам присоединюсь, моя платка Ленинграда уже на подходе)))
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 20 марта 13:32:35 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Доработал видеовыход по вот этой схеме (2 вариант):http://zxbyte.ru/leningrad_video_out.htm Результат-на видео:https://youtu.be/-gdUXP5uVJo
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 марта 11:13:46 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
Уже всю плату изтыкал осциллографом. Сей час кручусь во круг триггера D8. Не понятна схема его подключения, по идее это обычный D-триггер с двумя асинхронными входами R и S. Но тогда его состояние будет изменяться от С и D входов только тогда когда на R и S будут одновременно "1", вход R притянут к +5, но S висит в воздухе, как он вообще работает. Как я понял он тут подключен как Т триггер, и должен менять состояние входов по спадающему фронту на С входе. У меня на С вход приходит "1" =, на входах D12.C приходят импульсы в одной фазе и немного разной длительности. На выходе D40.B (KS) висит "1" на входах 4,5 импульсы, на 3 "0". Есть вообще описание работы всей этой системы формирования частотной сетки?
https://s8.hostingkartinok.com/uploads/images/2017/03/900cef43d8de1c16b74371f7858c4756.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 марта 19:54:31 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
Ещё у меня процессор сильно греется. Возможно, работает на "последнем издыхании". Буду менять. И когда вставляю ОЗУ в несколько панелек, происходит срыв кадровой и изображение сжимается вдвое и начинает дергаться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 02:33:03 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, D8 тут включен, как делитель частоты пополам. Из известной книжки "Применение интегральных микросхем серий ТТЛ": "Для получения режима счетного триггера вход D соединяют с инверсным выходом триггера, в этом случае триггер меняет свое состояние на противоположное по спадам входных импульсов отрицательной полярности." А по факту при таком включении на выходе триггера будет сигнал частотой вдвое меньшей, чем на входе. Да, а когда сигнал S в воздухе, там единица по умолчанию.

На входе C (11-й вывод D8) очень короткий сигнал, его можно и не увидеть на осциллографе. Смотреть надо, что там на выходе D8. А вот на 3-й ноге D40 должен быть хорошо различимый сигнал. Я бы тут проверил правильность включения диода D1, и исправность конденсатора C2.

Александр, с видеовыходом, очевидно, всё в порядке. А процессор может греться не только по причине неисправности, а еще по причине КЗ одного или нескольких его выходов или же при конфликте на шинах (например, когда есть проблемы с включением или исправностью D37, D38 или D32). Стоит проверить, не греется ли еще что-то сильнее нормы.

Если при установке исправных микросхем возникают проблемы с картинкой, значит, просто не хватает мощности источника питания.

Да, а что касается старой платы на видео, то это похоже на вариант Пентагона 48k (судя по микросхемам), а как конкретно эта версия называется, не знаю - уж больно много клонов Спектрума в своё время было создано ;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 05:57:45 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Доброго дня всем! подскажите пожалуйста на самой плате Ленинграда слева вверху обозначение микросхем A2, A3 это что и для чего?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 14:29:28 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
romancha48k, A2 - 140УД6, A3 - 521СА3. Это микросхемы оригинального узла чтения с магнитофона. Рекомендуется их не устанавливать, а собрать "читалку" на 554СА3 или на 561ЛН2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 16:20:09 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Toboe
Ну я предполагал, что выводы подтянуты к плюсу в нутри микросхемы, но можно было тогда и R не цеплять на плюс. Ну дак вот на выходе этого триггера у меня ни каких импульсов нет, все соединения до других микросхем проверил. Конденсатор живой диод стоит правильно, только я не понимаю как они могут влиять на на формирование сигнала на выходе D8. Это же цепь формировани int. Другой триггер в этой же микросхеме работает нормаль, и на входе импульсы и на выходе. Вообще чудеса какие-то.
Полезный комментарий + 0 | Бесполезный комментарий - 1
среда, 22 марта 17:10:19 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, во всей ТТЛ логике единица по умолчанию считывается с входов, хотя оставлять их в воздухе, конечно, не совсем правильно. Вот тут об этом можно почитать:
http://zxbyte.ru/logic_tester.htm

Как вариант, можно завести вывод 10 на плюс принудительно (на некоторых платах так и сделано). А если это не поможет, то можно, например, для проверки, навесным монтажом подпаять другую микросхему ТМ2, соединив её выводы 8/12 между собой, 10/13 на плюс и вход 11 с выводом 8 D12. Если "навесной" триггер запустится, тогда либо микросхема D8 все-таки неисправна, либо где-то КЗ. Да, а что касается D1 и C2, то они соединены одновременно с выходом и входом D8, т.е. в теории могут препятствовать запуску триггера при неправильном включении или неисправности.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 марта 11:22:00 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Приветствую. Вот уже что-то работает:

Image Hosted by PiXS.ru
Это был вариант без ЦП и ОЗУ. А вот вариант с ЦП:Image Hosted by PiXS.ru
А вот так он работает с ЦП и ОЗУ, несмотря на то, что блок питания на 5 вольт у меня выдаёт приблизительно 6 ампер-это 30 Вт:Image Hosted by PiXS.ru
Подумываю над тем, чтобы взять питание с компьютерного блока, через один из molex-разьемов. И ещё-нет вертикальных полос на матрасе при работе только с ЦП.


Полезный комментарий + 0 | Бесполезный комментарий - 1
пятница, 24 марта 10:15:24 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё вопрос по ПЗУ на 27256-куда подключить выход A14?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 марта 19:07:39 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
Спасибо за ссылку, надо будет собрать такой тестер, а то у меня на мультике какой-то тупой и не удобный. А тут и без осциллографа можно сигнал смотреть. Микросхему перепаял, теперь кадровый синхроимпульс появился. Только это не помогло, почти ничего не изменилось =_=" видимо что-то ещё. Начал дальше копать обнаружил что на WAIT единица постоянно, там же вроде как должны быть импульсы. И сигнала WE нету... Неужели опять микруха причём такая же ТМ2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 марта 19:27:32 2017 | ссылка на пост    
Сообщений: 13
Автор: Алексей E-mail: alpsoid@mail.ru
У меня вопрос к Вам. По какой схеме подключали AY-3-8910?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 марта 16:37:12 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Александр, питание можно взять и с molex-разъема, только надо полярность 7-мь раз проверить, чтобы плату не пожечь )

В ПЗУ на 27256 лучше сразу прошить в нижнюю часть (#0000-#3FFF) бейсик 128K (SOS128) и в верхнюю часть (#4000-#7FFF) бейсик 48к (SOS48). Тогда в режиме Спектрума 48k вывод 27 ПЗУ (A14) нужно будет оставить заведенным на +5В, а в последствии при апгрейде памяти на этот вывод нужно будет подать сигнал выбора SOS128 со схемы расширения.

Toboe, сигнал WAIT формируется только, когда процессор выполняет код из оперативной памяти. При отсутствии ПЗУ или при выполнении кода из ПЗУ сигнал WAIT не активен. А WE будет активен при отсутствии ПЗУ, только если процессор нормально работает и шина данных стабильна. Т.е. если WE нет, возможно, процессор не запускается или виснет.

Алексей, тут я использовал уже готовую плату музыкального сопроцессора. Скоро опубликую следующий материал, к нему я приложу вариант схемы "музыкалки".

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 марта 14:19:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dmitriy
Здравствуйте!
Подскажите пожалуйста, при собирке ZX-NEXT возникла проблема - не проходит тест памяти
http://www.cxemateka.ru/img/?openimg=photo.jpg
хотя те же микросхемы на Ленинграде проходят тест на ура. В какую сторону копать?...

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 марта 22:13:18 2017 | ссылка на пост    
Сообщений: 5
Автор: Toboe
Можно как-нибудь однозначно проверить работоспособность процессора? У меня про нажатии на reset на выходе RD появляются импульсы причём не всегда, но с большой вероятностью. Соответственно этот сигнал попадает на линию выбора ПЗУ. В общем да проц зависает на самом старте. При этом все сигналы на линиях независящих от процессора, ну то есть там где формируется частотная сетка, я проверил, всё вроде норм. Может это быть проблема именно с самим процессором или всё же память. Когда запускаешь без памяти практически ничего не меняется. За исключением того что импульсы на RD появляются всегда после нажатия reset.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 20:14:30 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Dmitriy, первым делом питание надо бы проверить, возможно, просто не хватает мощности для запуска этой платы. Интересно было бы еще увидеть, как выглядит картинка на мониторе при отсутствии ПЗУ...

Toboe, проще всего проверять работоспособность процессора, запуская систему без ПЗУ. Если шина данных стабильна, процессор по циклу будет выполнять команду RST #38, а на адресной шине процессора будет цикличный перебор адресов, хорошо различимый осциллографом. И еще один момент, процессор не должен быть КМОП. В маркировке таких процессоров есть буква "C", например, Z84C00. С таким проблемы могут быть на плате со стандартной ТТЛ-логикой.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 21:27:57 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dmitriy
Питание с лабораторного блока на 5 ампер. На всех микросхемах ровно 5 вольт, потребление платы 780ма. Пробовал добавить вольтажа - становится только хуже. Без ПЗУ идеальный "матрас". Уже всю плату осциллографом затыкал, так ничего аномального и не углядел...
С системным ПЗУ стартует, 1982 Sinclair Researsh ltd присутствует на своём законном месте, ну и артефакты в виде горизонтальных полосок в рандомных местах... Очень похоже на нерабочее ОЗУ, но переставляю его в Ленинград - там всё отлично...

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 22:25:45 2017 | ссылка на пост    
Сообщений: 5
Автор: Dmitriy
И ещё момент если ставлю ру5г, то всё как описано выше. А если ру5в то надписей практически не видно, всё в артефактах. ру5в тоже оттестировано на Ленинграде.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 22:31:30 2017 | ссылка на пост    
Сообщений: 5
Автор: Константин Айги (CXEMATEKA.RU)
Dmitriy, вполне возможно просто не хватает блокировочных конденсаторов на плате. Если это так, то будет видна небольшая "пила" на шине питания +5В на некоторых участках платы (при просмотре осциллографом).

И ещё, микросхемы РУ5 довольно капризны, в ZX-NEXT лучше использовать две линейки РУ7, а ещё лучше западные их аналоги - 41256/41257 DRAM или MB81256/MB81257 DRAM.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 марта 18:08:11 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,146,880

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU