CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 23 22 21 20 19 18 17 16 15 14 13 12 >>>>

Автор: Александр
Проблема РЕШЕНА!!! Убрал со второй схемы выходной элемент ЛН1, подключив выход с ЛИ1 напрямую ко 2 ноге дешифратора:
image Только так все порты заработали, как надо, чип AY стал видеть и демо не виснет. И никакого переключателя не надо;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 01:27:47 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Привет!

Подключаю AY-8912 к своему ленинграду по вот этой схеме:


взято отсюда: https://zxpress.ru/article.php?id=11995

Всё спаял, но звука нет. Загружал игру Dizzy 5 128k. Как проверить, что процессор "видит" аигрик? Может есть какоая-то тестовая программа?

Осциллограф на ногах 4, 5 аигрика показывает напряжение чуть выше нуля, с каким-то мусором +-100mV. На ноге 1 полный ноль.

Ещё вопрос, на этой схеме надо поключиться к сигналу M1 процессора (от D2-1), но у Z80 есть только не-M1. Надо ли его инвертировать или на этой схеме М1 это именно не-М1?


Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 03:29:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Артем, а в чем собственно неисправность выражается?

Ivan Gagis, схема знакомая, я в своё время по схожей схеме AY подключал:



Сигнал M1 берется непосредственно с процессора, инвертировать его не надо. Проверить доступность AY можно этим тестом:
https://www.cxemateka.ru/ftp/test_v4.30r.tap

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 11:56:49 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Тест показал, что AY отсутствует.

Вот скриншоты из теста, там что-то про порты есть, что я не очень понимаю.
https://photos.app.goo.gl/JaSRLgKLb2ij8q9KA
https://photos.app.goo.gl/GrxSK1WNJWDwMjDz5
https://photos.app.goo.gl/ZQLXi9jSGv9th3xu9
https://photos.app.goo.gl/xkbJ3UAiXbusGBoz5

На шине данных, например на линии D2, видна вот такая ерунда:
https://photos.app.goo.gl/WY4BrDWA9z7swTKe6

что не очень смахивает на прямоугольный сигнал. При этом комп в целом работает, Dizzy 5 загружается и работает, но звука нет.

Может у меня AY чип неисправный...? Куда ещё можно покопать, что проверить?

Закажу пока ещё пару AY-8912 чипов на всякий случай.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 17:08:15 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё один момент, 1.75МГц я снял с D3-2, а не с D35-2. На D35-2 не было никакого меандра. Хотя у меня же Ленинград-1 и согласно табличке 1.75МГц должно быть на D35-2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:07:21 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё, пины аигрика IOA0-IOA7 остаются висящими, это так и должно быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:25:05 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё один момент, Константин, на вашей схеме А13 и А15 объединяются, на моей же схеме А13 нету вообще. Может мне тоже надо А13 подвести к аигрику?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:31:33 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
А тем временем, я подкинул А13 через И-НЕ с А15 и инвертированием, как на схеме Константина. Это не помогло никак, ничего не изменилось.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 23:48:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, схема, которую я выше привел, рабочая (проверено на практике неоднократно).

Сигнал на шине данных так и должен выглядеть на осциллографе.

Тут действительно, либо что-то не так с подключением, либо чип неисправен. Пины IOA0-IOA7 не используются. Рекомендую внимательно проверить правильность подключения выводов AY-3-8912 (DIP28), включая входы питания:
https://www.cxemateka.ru/ftp/generalinstrument_ay-3-8910.pdf

И да, тактовый сигнал нужно брать со второго вывода D3 (сигнал H1). Там на схеме, видимо, имеется в виду другая нумерация корпусов (схемы-то разные были для "Ленинграда-1").

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 14 декабря 11:30:51 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
В общем, я вместо AY-3-8912 припаял AY-3-8910 и он обнаружился тестом. То есть похоже, что мой 8912 неисправен.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 01:13:00 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: otrazhenie E-mail: otrazhenie1972@mail.ru
Доброго дня.
Настроил свой Ленинград, расширил память до 256к...теперь борюсь с контроллером дисковода....запутался с контактами...в частности к сигналом /NMI. На классической схеме контакт /NMI (вывод 17 Z80) процессора соединен с выводом 25 и через резистор на +5В. Куда мне соединять
/NMI от контроллера дисковода?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 11:23:32 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, надо аккуратно перерезать дорожку, соединяющую NMI и BUSRQ так, чтобы BUSRQ остался подтянутым к плюсу резистором R6. Сигнал NMI желательно подтянуть к плюсу дополнительным резистором 10К. Далее соотв. сигнал с контроллера дисковода соединяется непосредственно с NMI (Z80-17).
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 13:14:30 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Добрый день. У меня встал новый вопрос по поводу использования сервисных прошивок, записанных в 0-й банк памяти. Для контроддера дисковода Nemo FDC есть доработка, позволяющая выходить в этот банк по кнопке Reset. Но пока что мне удачно удалось записать в этот банк и запустить только лишь Test 4.30. Небезизвестные MADROM 3.5 и Mr. Gluk Reset Service отказываются работать на моей машине. К примеру, MADROM после включения ПК и нажатия Reset выдаёт мне вот такую шляпу:
image
Вопрос в том, как это исправить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 15:58:22 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: otrazhenie E-mail: otrazhenie1972@mail.ru
Спасибо!!! Заработало....НО...пишет No Disk. Диск раскручивается, лампочка моргает. Дисководы и дискеты менял...везде одно и то же.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 07:43:38 2020 | ссылка на пост    
Автор: Александр
otrazhenie надо смотреть, как идут линии выборки дисковода с контроллера "DS0","DS1" и "Motor"(10,12 и 16 пины, соответственно). В крайнем случае, после того как вошли в TR-DOS, нужно сменить букву дисковода с A на B (команда *"b" ENTER). Если не помогает, нужно в самом дисководе сделать перепайку, чтобы он читался, как дисковод А(благо во многих дисководах есть перемычки на этот случай). Или же использовать эмулятор, вроде моего SDHxC Floppy Emulator.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 11:37:58 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: otrazhenie
это что за железка?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 15:53:03 2020 | ссылка на пост    
Сообщений: 10
Автор: otrazhenie
https://www.cxemateka.ru/u/otrazhenie
собирал по этой схеме навесным монтажом. Вместо узла с РТ4 взял узел от пентагона. Не пойму как вставлять картинки

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 16:25:14 2020 | ссылка на пост    
Сообщений: 10
Автор: Александр
otrazhenie Это схема контроллера дисковода. Вообще, схем подключения ВГ93 к Спектрум-совместимым компьютерам, равно как и самих контроллеров, было достаточно большое количество. Я лично использую один из самых надёжных и ходовых контроллеров - NemoFDC в связке с вышеупомянутым эмулятором дисковода. Правда пришлось повозиться с организацией разъёма ZX-BUS для этого контроллера, но оно того стоило;)
P.S. Картинки можно загружать через этот сайт:
https://ru.imgbb.com/, а затем просто копировать и вставлять сюда HTML-код миниатюры со ссылкой.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 19:07:45 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: otrazhenie
Спасибо за наводки и подсказки! На этапе выбора мне почему-то попадались только наборы контроллера от NEMO. Но уже все спаяно и перепаивать по новой лениво. Буду настраивать что есть. Эмулятор для меня сейчас дороговат....так что ....зато есть куча дисководов. В общем буду делать на том, что есть.
https://ibb.co/yB7PRDg

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 17 декабря 07:48:28 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, судя по фото, неправильно подключен дисковод. Шлейф, соединяющий контроллер и дисковод, должен быть один к одному, без перевернутого фрагмента. Для контроллера PC это нормально, для контроллера на ВГ93 - недопустимо.

Александр, в Спектруме вся память разделена условно на банки по 16К, как странички ОЗУ, так и странички ПЗУ. При прошивке ПЗУ, важно не попутать сегменты местами. А какой сегмент должен быть где, зависит уже от схемы подключения ПЗУ. Соотв. наиболее частые ошибки при прошивке, это несоответствие расположения сегментов со схемой, а также не стоит забывать, что SOS 48K для Спектрума 48K (MD5: 4c42a2f075212361c3117015b107ff68) отличается от SOS48K для Спектрума 128К (MD5: 6e09e5d3c4aef166601669feaaadc01c). Рекомендую перед прошивкой скомпонованной версии ПЗУ, проверить её на каком-нибудь эмуляторе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 18 декабря 12:56:53 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Николай
Друзья, а замена каких отечественных микросхем в Ленинград-2012 (на импортные аналоги) может повлиять на общую производительность компа в играх?

Припоминается, что вроде как пошустрее шли некоторые игры, в "Ленинграде" из детства.

БЛАГОДАРЮ!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 20 декабря 06:47:12 2020 | ссылка на пост    
Николай
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Николай, от марки микросхем зависит не производительность, а лишь стабильность и адекватность работы системы.

У версии «Ленинград-2012» два режима работы. Устанавливаются они перемычкой J10:
1. Режим совместимости со старыми играми - временные диаграммы оригинального «ZX Spectrum», режим «медленной» памяти и порт атрибутов #FF. Бордюрные эффекты на месте.
2. Режим совместимости с «нашими» программами - отключение «медленной» памяти, времянки «Pentagon-128».

Соотв. в режиме времянок «Пентагона» система должна работать быстрее. Проверить, правильно ли работают эти режимы, можно при помощи известного теста:
https://www.cxemateka.ru/ftp/test_v4.30r.tap

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 20 декабря 15:10:43 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
Константин, насчет шлейфа я в курсе. ПЗУ брал с Вашего сайта где TR-DOS в банке1
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 07:59:47 2020 | ссылка на пост    
Сообщений: 10
Автор: otrazhenie
недопустимо - значит выгорает ВГ93?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 08:20:11 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, ВГ93 в этом случае не пострадает, но дисковод нормально работать не будет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 14:48:33 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
нашел обрыв...дисковод стал откликаться. Лампа на дисководе зажигается...но все равно выдает no disk. Сигналы DS0 DS1 присутствуют. На одном 0 на другом 1. Мотор включает и сразу останавливает. Может контроллеру надо указать что у меня дискеты 3.5 дюйма?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 16:26:17 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, для нормальной работы с 3-х дюймовыми дискетами, нужно на них заклеивать окошко, определяющее плотность записи, т.к. контроллер на ВГ93 не работает с дисками объёмом более 720 кб.

Окно плотности записи находится в углу дискеты – квадратное окошко, без защёлки. Заклеивать надо непрозрачным материалом. Например, как-то я пробовал заклеивать стандартной синей изолентой – не сработало. А с чёрной изолентой проблем не возникло.

Да, и ещё, как выше уже говорилось, стоит проверить, как система реагирует на смену дисковода соотв. командой:
*"b" – выбор дисковода Б
*"a" – выбор дисковода A

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 18:13:43 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
на выбор дисковода система реагирует. Дисковод выбирается. Какие-то реагируют на "а" там перемычка перепаяна, какие-то на "b". Тут все работает.
Про окошко не знал....попробую сегодня.
....а при старте дисковод не должен двигать головой туда-сюда?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 декабря 11:38:02 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
> ....а при старте дисковод не должен двигать головой туда-сюда?

Поведение дисковода будет зависеть от версии TR-DOS. Но в любом случае, на команды RUN, CAT, LIST дисковод отреагирует как минимум перемещением головки на трек 0 (если головка там уже не находится, разумеется).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 декабря 20:23:22 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
никак не могу победить "no disk". Дисковод откликается на А. При обращении лампа на нем загорается, двигатель запускается, головка шуршит.
контроллер паял из двух схем. первую я выкладывал, выбросил из нее ПЗУ и узел формирования /NMI и /ROM. Его взял из схемы С-48. В TR-DOS заходит, значит он отрабатывает. В моей схеме нет ФАПЧ, но думаю это не должно сказываться на работе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 24 декабря 14:04:51 2020 | ссылка на пост    
Сообщений: 10
Автор: otrazhenie
на выводе 33 ВГ93 уровень 1 всегда...и на адресных входах выв 5 и 6 размах в районе 4В. Вроде не критично...
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 24 декабря 15:37:25 2020 | ссылка на пост    
Сообщений: 10
Автор: Николай
Константин,
подскажите где можно почитать про упомянутые Вами сигналы (не нашёл функции цитирования).
Благодарю!


Николай, цвет бордюра формируется регистром D39 (ТМ9). Соотв. проверять надо наличие сигналов D0, D1, D2 на входах 13,11,6 D39; наличие устойчивых значений на выходах регистра 12,10,7, а также их связь с D30,D31. Также не мешает проверить наличие сигнала BORD2 на входах D30-10, D31-10 (соотв. по схеме 2012)

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 25 декабря 13:55:07 2020 | ссылка на пост    
Николай
Сообщений: 3
Автор: Vladimir
Добрый вечер!
видео супер!!! золотые руки и умная голова!!!
вспомнил детство как гоняли Dizzy с соседом...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 26 декабря 18:43:29 2020 | ссылка на пост    
Сообщений: 1
Автор: eskoptev
Пытаюсь собрать Ленинград 48к. У меня ест два кварца на 14 и 14,318 МГц. Какой лучше поставить. Судя по схеме для кварца 14,318 МГц переделки не потребуется.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 29 декабря 09:19:16 2020 | ссылка на пост    
Сообщений: 3
Автор: Ivan Gagis
eskoptev если есть кварц на 14 МГц ровно, то почему бы не поставить именно его, ведь такой и требуется для наиболее точного совпадения частот видеосигнала со стандартными.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 29 декабря 23:10:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Приветствую!

Пришёл наконец новый AY-3-8912 чип. Вставил его, вроде работает, но звук какой-то с дисторсией.

Вот заснял видео со звуком: https://www.youtube.com/watch?v=Z-PtlMsXjJA

На видео игра Dizzy 4.

Может ли это быть плохой чип AY? Маркировка чипа ровно вот такая: https://siteimgs.com/10018/item/ay-3-8912-sound-generator_728-0.jpg
Причём предыдущий, неисправный чип был фирменный от Microship, по крайней мере согласно маркировке. На ютубах рассказывают про поддельные чипы https://www.youtube.com/watch?v=k72SFBOZ_lw

Подключал к скарту по вот этой схеме: https://www.cxemateka.ru/i/ay_beeper_scorpion.png

Ещё, заметил, что есть какая-то проблема с видео сигналом на границе смены аттрибута цвета. Например, на видео у диззика левый глаз потёк и левая часть рта размыта. Что бы это могло быть...?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 5 января 04:27:14 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: OZZY1976
Привет всем!Кто нибудь может помочь с запуском краснодарского клона zx spectrum?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 февраля 22:37:50 2021 | ссылка на пост    
Сообщений: 8
Автор: Игорь
Доброго всем дня! Решил вспомнить молодость и собрать свой первый Спектрум. Нашёл плату Ленинграда и комплект деталей, сборка прошла успешно, комп запустился. НО интересный момент: память на м/с TMS4164-10NL, успешно протестированная на "Специалисте", на Ленинграде выдавала ошибки. Проверено было два комплекта микросхем. А вот наши РУ5Г запустились без проблем. Чем это может быть вызвано? И ещё один вопрос: Не мигает курсор, проскакивает по курсору тонкая полоска и всё. Команды вводятся правильно. В чём может быть неисправность?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 12 февраля 18:44:31 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Александр
Добрый день. Восстанавливаю клон "Байт" (zxbyte.ru). На данный момент имею такую картинку, не подскажете куда копать?
https://disk.yandex.by/i/8AXPX-MFzQ3LoQ
https://disk.yandex.by/i/IjfNNiHd6XZoMA

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 14 февраля 12:04:36 2021 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
Николай, вот тут ссылка на книжку с описанием схемы «Ленинграда»:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Надо помнить, что «Ленинград 2012» имеет некоторые отличия от классической версии, но большинство сигналов идентичны, соответствия можно отследить по схемам:
https://zx-pk.ru/threads/17338-leningrad-2012.html

Ivan Gagis, на качество звука AY влияют сразу несколько факторов:
1. тактовая частота должна быть стабильна - 1,75 МГц
2. источник питания должен быть достаточно мощным, что важно
3. чип должен быть качественно запаян или если он в панельке, контакты должны быть надежными. Я неоднократно исправлял проблемы со звуком AY, просто почистив спиртом контакты извлеченного из панельки чипа.

Что касается, проблем с изображением, то это легко лечится установкой дополнительных конденсаторов. Речь собственно об известном баге с прорисовкой дуг и окружностей. На этой схеме показано, как это лечить:
https://www.cxemateka.ru/v1/Other.png (обычно устанавливается дополнительный конденсатор порядка 1000 пФ на D10-4 к земле, и RC-цепочка 220 Ом + 360 пФ в разрез между D34-10 и D33-1).

OZZY1976, ничего особо сложного в оживлении краснодарского клона быть не должно. Это клон с раздельным полем памяти. Основная память на РУ5, видеопамять на РУ6. Проверка работоспособности тут по стандарту. Сначала подаём питание и смотрим, есть ли тактовый сигнал на 6 ноге процессора. К ТВ можно для начала подключить по композиту (разъем видео "тюльпан"), картинка будет черно-белой (плата «Краснодара» выдаёт соотв. видеосигнал).

Игорь, да, любопытно. Обычно импортная память работает стабильно в наших клонах. Цитата из книжки «Ленинград-1 наладка и ремонт»: "При использовании микросхем 565РУ5 с разными буквами (Б, В, Г) возможно появление сбоев в работе. Одной из причин этого может служить различие во временных характеристиках микросхем ОЗУ. В этом случае большую роль играет сигнал RAS, а именно его форма и задержка. Практика показала, что последовательное включение в цепь резистора сопротивлением 300 Ом наиболее приемлемо для обеспечения устойчивости работы ОЗУ". Т.е. в теории можно поэкспериментировать с задержкой сигнала RAS, хотя лично я так никогда не делал.

Если курсор не мигает, то тут очевидно присутствует проблема с сигналом FLASH. Надо проверять правильность установки диодов D2, D3, резистора R4, а также наличие соотв. сигнала на выходе счетчика D7-11.

Александр, похоже на проблему с памятью или видеоконтроллером. Что именно сбоит легко проверить при помощи теста памяти, например этого:
http://www.cxemateka.ru/v1/test48k.bin

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 10:18:49 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305

<<<< 23 22 21 20 19 18 17 16 15 14 13 12 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,146,394

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU