CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 22 21 20 19 18 17 16 15 14 13 12 11 >>>>

Автор: mak601
Приветствую друзья,
решил собрать ленинград 48кб.
Нашел все микросхемы серии ALS кроме 74ALS298 (КП13) и 74ALS295 (ИР16). С трудом нашел аналоги но серии LS (74LS298, 74LS295). Будут ли проблемы если использовать эти две мс AL остальные ALS?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 сентября 03:50:51 2020 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
mak601, если все микросхемы исправны, то проблем не будет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 сентября 11:40:21 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Денис
Помогите с диагностикой. У меня с матрасом проблемы. Так выдеовыход дорабатывал.
IMG-20200906-012104

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 6 сентября 01:34:11 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Денис, надо проверять адресную шину. Очень похоже, что где-то замыкание по одной из адресных линий процессора A0-A15, или на адресной шине памяти MA0-MA7.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 7 сентября 14:10:36 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
Доброго дня.
Собрал таки и я свой Ленинград.
Матрас появился. При вставленной ПЗУ имею черный экран с бегущей моргающей строкой. Не подскажите куда копать?

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 30 сентября 16:20:38 2020 | ссылка на пост    
Автор: Вова
Константин Айги,мне понравилось как вы собрали компьютер.В интернете много похожих печатных плат.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 октября 03:35:58 2020 | ссылка на пост    
Автор: Вова
Я тоже хочу собрать такой же компьютер как у вас,но это дорого стоит.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 октября 03:45:41 2020 | ссылка на пост    
Автор: alex
Здравствуйте, видели harlequin 128k?

Что можете сказать?

Лично меня поразило что такую красоту да на крупной выводной рассыпухе в точные массогабариты оригинального английской платы 48к уместили, со всеми разьемами в нужных местах без всяких читерств с микроконтроллерами и плис.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 13 ноября 13:19:30 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
alex, про Harlequin конечно слышал, но у меня в коллекции пока такого клона нет. Вообще, когда мы говорим о клонах, то простота сборки, а также цена и доступность комплектующих – главный вопрос. В целом, клоны ZX на основе схемы Зонова («Ленинград») обычно выходят дешевле конкурентов. А те ретрокомпьютеры, что делаются на плис и микроконтроллерах, клонами, в общем-то, не являются. По сути, это хардварные эмуляторы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 14 ноября 06:33:47 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: alex
Ну, насчёт FPGA вы зря :), ведь ULA из оригинального железа это по сути однократно программируемая на заводе CPLD, а не какая то специальная заказная микросхема мультиконтроллера.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 ноября 16:58:33 2020 | ссылка на пост    
Автор: Артем E-mail: eviver@mail.ru
Здравствуйте, не можете помочь с поиском документации на клон Magic-04 или хотя бы прошивок его КР556РТ4А ? В моей брошюре по сборке zx есть информация, что это доработка для привязки к черному, и приводится текст программы, но микросхема там всего одна, а у Magic-04 их две :(
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 ноября 00:26:58 2020 | ссылка на пост    
Автор: Ivan Gagis
Привет Константин!

В ближайшее время собираюсь делать апгрейд Ленинграда до 128кб по схеме с импортной памятью с регенерацией в 256 циклов. Пока что установил ПЗУ на 27с512 с универсальной прошивкой и выбором SOS 48к.

План соединений из 0-ого поста состоит из 37 шагов.
Вопрос: можно ли разбить эти шаги на некоторые более мелкие группы, чтобы можно было выполнить несколько шагов и проверить что оно как-то включается и работает, или же надо делать все 37 шагов за раз? Боюсь накосячить или забыть что-нибудь и потом будет сложно разобраться в чем дело.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 17 ноября 01:26:09 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
alex, ULA в оригинальном Спектруме – это лишь часть схемы. Просто нынче модно делать клоны полностью на ПЛИС, даже без процессора Z80, а это уже не то )

Артем, в сети много информации можно найти по Magic разных версий. Вот тут, например:
https://github.com/UncleRus/quorum-reborn

А вообще, интересно было бы увидеть фото платы, чтобы понять о какой именно модификации речь. Что касается РТ. Эти микросхемы в штатном режиме довольно сильно греются, поэтому часто вызывают подозрения у неопытных ремонтников. Однако лучше их не тревожить зря, а только в случае стопроцентной уверенности в их неисправности.

Ivan Gagis, доработку лучше сразу собирать полностью. Обычно проблемы возникают только в случае неисправности какой-либо из установленных дополнительных микросхем. Что касается соединений, то тут просто нужно всё не спеша, аккуратно спаять. Да, и ещё нужно будет сделать коррекцию дешифрации портов ввода-вывода, что критично для нормальной работы Спектрума в режиме 128К:
https://www.cxemateka.ru/v1/128k.png

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 17 ноября 07:14:55 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий 43 E-mail: darkkvager@yandex.ru
Константин, вы как то упоминали что хотели снять подробное видео о подключении спектрум совместимых машин к телевизорам и мониторам, будет ли такое видео?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 декабря 23:56:38 2020 | ссылка на пост    
Автор: Александр
Приветствую, ребята! Давненько я сюда не заходил (учусь в универе заочно), поэтому времени не хватает. Но, наконец-то руки дошли у меня до ремонта своего спектрума. Вчера заменил микросхему D11 (ЛП5), ответственную за формирование композитного синхроимпульса, а сегодня мне удалось востановить обвязку "генератор-счётчики" (D2, D3 и D5 выгорели после того КЗ, из-за чего он вообще мне ничего не показывал на экране). Помимо этого я также обзавёлся наконец-то логическим анализатором, что позволило мне выявить другую проблему, до которой я дошёл. Вот она:
DSC-0002
Как видите, генератор поначалу нормально выдаёт импульсы, но затем появляются мелкие помехи, замедляющие частоту. На изображении это выглядит вот так:
DSC-0001
Склоняюсь к тому, что либо ещё осталась одна пострадавшая от КЗ микросхема, кидающая помехи на шину питания, либо сам блок питания создаёт помехи.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 декабря 16:35:05 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Мой ремонт продолжается:
http://www.youtube.com/shorts/uR_vdiXEHqI

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 декабря 19:47:48 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий 43, да, материал по этой теме готовлю )

Александр, судя по видео, отсутствует связь (или сам сигнал) между D31-12 (бит 7 атрибутов) и D11-1.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 6 декабря 05:44:30 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Привет Константин!

Спаял я апгрейд до 128к и коррекцию дешифрации портов ввода-вывода. В принципе даже что-то запустилось, но испортился видео выход.

Выглядит это вот так:










Появились какие-то градиенты на белом цвете.
У меня сделана доработка видеовыхода по схеме компьютера "Композит".
Есть ли идеи в чем может быть проблема?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 00:44:55 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, после апгрейда количество микросхем увеличилось, увеличился и ток потребления. Судя по картинке, проблема именно в нехватке мощности блока питания.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 01:05:50 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Приветствую. По совету Константина мне удалось победить проблему с Flash-генератором - диод, идущий от D31-12 до D11-1, раскололся на 2 части (он был в стеклянном корпусе и я его задел, видимо, когда менял D11). Также после того, как я удалил из схемы буферизатор шины данных на АП5 и подключил процессор напрямую к плате, большая часть глюков исчезла, в частности, комп перестал сбрасывать в Basic 48 без видимой на то причины;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 21:01:18 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: mykl
здравствуйте, подскажите пожалуйста, в чем скрыта проблема отображения цветов, компьютер подключен по RGB скарту к жк тв, изначально при подключении была инверсия цветов и я заменил микросхему КП14 на КП11 (на фото старая микросхема) причем такое искажение цвета наблюдается не во всех играх, некоторые работают нормально.
https://yadi.sk/i/jRWtfiuV6RlgWg
https://yadi.sk/i/5pRfTs6YzH8vZA
https://yadi.sk/i/j07bQkDXk8pa5g
https://yadi.sk/i/5xIVusBGxXlL-A

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 22:00:15 2020 | ссылка на пост    
Сообщений: 2
Автор: Ivan Gagis
К счастью, оказалось, что всего лишь оторвался один проводок. Теперь всё работает и блок питания менять не надо :). Спасибо за помошь!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 23:15:46 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
mykl
Предположу, что в этой проблеме как-то задействован атрибут яркости. Видно, что не все знакоместа искажены по цвету, а если не атрибут мигания, то остаётся атрибут яркости. Но что именно смотреть, какие сигналы, это я не знаю.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 23:19:17 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
mykl, проверьте полярность установки диодов, которые подсоединены к выходу D36-12 (сигнал яркости).
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 9 декабря 07:16:03 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Добрый день. Поскольку у меня комп расширен до 256к, мне хотелось бы полностью разрешить проблему с переключением половинок памяти по 128к (бит D4 порта 1FFD или бит D6 порта 7FFD). К примеру, есть демо от Gemba boys - We are alive, наотрез отказывающееся работать с битом D4 порта 1FFD(Скорпионовский вариант переключения). С другой стороны, есть игрушка 1998 года NETWALK, работающая только с этим вариантом и не понимающая вариант D6 порта 7FFD (вариант Пентагона или АТМ-Турбо). Конкретно не знаю, откуда взялся последний, насколько мне известно, Пентагонов с 256к памяти не выпускалось вообще, этот вариант я обнаружил в вот этой доработке расширения памяти:
https://hsto.org/webt/pb/l6/ca/pbl6cabavkknlwvouz7nth8gclk.jpeg
Пока что у меня для подобных случаев стоит переключатель дешифрации со Скорпионовского варианта на Пентагоновский и обратно, но всё же хотелось бы выбрать один вариант. На днях я наткнулся на вот эти две доработки для Скорпиона:
http://zxpress.ru/article.php?id=10341
http://zxpress.ru/article.php?id=11679
Как было заявлено, они позволяют запускать демки и игры, использующие укороченную адресацию портов FD, т.е. в теории, одна из этих доработок поможет мне запустить вышеупомянутую We are alive при переключении на D4 1FFD. Вопрос в целесообразности их подключения, тем более, что в обеих доработках предлагается от 6 ноги дешифратора портов ИД7 убрать сигнал M1\ и подключить 6 ногу к +5В через резистор 10кОм. Стоит ли делать одну из этих доработок или же оставить всё, как есть, с переключателем дешифрации?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 10 декабря 16:05:18 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Николай
Приветствую всех любителей и мастеров спектрума.
Собрал конструктор Ленинград-2012 (zx-kit.ru), в целом всё работает, но вот два нюанса никак не дают успокоиться. Охото добиться того самого спектрума из детства.
Всего две задачи:

1) На холодную, сразу после включения, через раз случается чёрный бардюр, вместо привычного белого. Бордюр быстро меняет разные цвета при нажатии кнопок, но опять возвращается в чёрный цвет. Выкл/вкл питания помогает, со 2го, 3го раза, а вот reset нет - после сброса снова устанавливается чёрный бордюр.
В каком направлении искать?
прошивка - стандартный Sinclair Basic 1982

2) Второй момент. Уровень записи со смартфона/простой нокии. На холодную, сразу после включения запись отлично идёт на самом минимальном уровне, после прогрева несколько часов, с трудом "схватывает" на максимальной громкости. Пробовал грузить с разных устройств.

Уважаемые мастера и мэтры спектрума, подскажите в каком примерно направлении смотреть копать. Осцилограф имеется.

С уважением.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 10 декабря 17:16:39 2020 | ссылка на пост    
Николай
Сообщений: 3
Автор: Randomize_Usr
Сообщений: 23
Автор: Константин Айги (CXEMATEKA.RU)
Александр, думаю, всё-таки с переключателем система будет стабильнее работать. Ну, а так, если время есть, то не грех и поэкспериментировать )

Николай, цвет бордюра формируется регистром D39 (ТМ9). Соотв. проверять надо наличие сигналов D0, D1, D2 на входах 13,11,6 D39; наличие устойчивых значений на выходах регистра 12,10,7, а также их связь с D30,D31. Также не мешает проверить наличие сигнала BORD2 на входах D30-10, D31-10 (соотв. по схеме 2012) .

Прохождение сигнала TAPE IN можно посмотреть осциллографом в точке D52 (561ЛН2) выход 4 или D38-11. Также надо учитывать, что при недостаточно мощном и стабильном питании, узел считывания с ленты нормально работать не будет.

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 11 декабря 10:46:32 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Артем E-mail: eviver@mail.ru
Константин, я натыкаюсь только на magic-05, а по про версию 04 инфы не нахожу. Начал изучать и рисовать схему, пришел к выводу что проблема в РТ-шках. Фоток не делал и уже не смогу т.к. уехал с того города, но свою схему (на 60-70%) прикрепляю в формате sPlan 7. РТ-шки выделил красным. https://yadi.sk/d/2fq0ARCgVpRCXg
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 декабря 05:22:43 2020 | ссылка на пост    
Автор: Александр
Доброго времени суток! Только что спаял вот эту доработку с укороченной дешифрацией, о которой я говорил ранее:
http://zxpress.ru/article.php?id=10341
После этого я убрал переключатель дешифрации, подключив расширение только на D4 1FFD (Скорпионовский вариант). Ранее упомянутая дема "We are alive" при такой дешифрации наконец-то заработала, но почему-то без звука. Запустил тест 4.30 и вот что он мне выдал:
DSC-0009DSC-0008
Задаётся вопрос - где я мог ошибиться и как это исправить, подскажите.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 декабря 15:44:00 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Перепаял схему на другую: http://zxpress.ru/article.php?id=11679
Теперь он вообще перестал запускать ОС:
DSC-0002
В чём причина?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 декабря 18:13:06 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблема РЕШЕНА!!! Убрал со второй схемы выходной элемент ЛН1, подключив выход с ЛИ1 напрямую ко 2 ноге дешифратора:
image Только так все порты заработали, как надо, чип AY стал видеть и демо не виснет. И никакого переключателя не надо;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 01:27:47 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Привет!

Подключаю AY-8912 к своему ленинграду по вот этой схеме:


взято отсюда: https://zxpress.ru/article.php?id=11995

Всё спаял, но звука нет. Загружал игру Dizzy 5 128k. Как проверить, что процессор "видит" аигрик? Может есть какоая-то тестовая программа?

Осциллограф на ногах 4, 5 аигрика показывает напряжение чуть выше нуля, с каким-то мусором +-100mV. На ноге 1 полный ноль.

Ещё вопрос, на этой схеме надо поключиться к сигналу M1 процессора (от D2-1), но у Z80 есть только не-M1. Надо ли его инвертировать или на этой схеме М1 это именно не-М1?


Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 03:29:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Артем, а в чем собственно неисправность выражается?

Ivan Gagis, схема знакомая, я в своё время по схожей схеме AY подключал:



Сигнал M1 берется непосредственно с процессора, инвертировать его не надо. Проверить доступность AY можно этим тестом:
https://www.cxemateka.ru/ftp/test_v4.30r.tap

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 11:56:49 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Тест показал, что AY отсутствует.

Вот скриншоты из теста, там что-то про порты есть, что я не очень понимаю.
https://photos.app.goo.gl/JaSRLgKLb2ij8q9KA
https://photos.app.goo.gl/GrxSK1WNJWDwMjDz5
https://photos.app.goo.gl/ZQLXi9jSGv9th3xu9
https://photos.app.goo.gl/xkbJ3UAiXbusGBoz5

На шине данных, например на линии D2, видна вот такая ерунда:
https://photos.app.goo.gl/WY4BrDWA9z7swTKe6

что не очень смахивает на прямоугольный сигнал. При этом комп в целом работает, Dizzy 5 загружается и работает, но звука нет.

Может у меня AY чип неисправный...? Куда ещё можно покопать, что проверить?

Закажу пока ещё пару AY-8912 чипов на всякий случай.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 17:08:15 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё один момент, 1.75МГц я снял с D3-2, а не с D35-2. На D35-2 не было никакого меандра. Хотя у меня же Ленинград-1 и согласно табличке 1.75МГц должно быть на D35-2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:07:21 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё, пины аигрика IOA0-IOA7 остаются висящими, это так и должно быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:25:05 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё один момент, Константин, на вашей схеме А13 и А15 объединяются, на моей же схеме А13 нету вообще. Может мне тоже надо А13 подвести к аигрику?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:31:33 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
А тем временем, я подкинул А13 через И-НЕ с А15 и инвертированием, как на схеме Константина. Это не помогло никак, ничего не изменилось.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 23:48:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, схема, которую я выше привел, рабочая (проверено на практике неоднократно).

Сигнал на шине данных так и должен выглядеть на осциллографе.

Тут действительно, либо что-то не так с подключением, либо чип неисправен. Пины IOA0-IOA7 не используются. Рекомендую внимательно проверить правильность подключения выводов AY-3-8912 (DIP28), включая входы питания:
https://www.cxemateka.ru/ftp/generalinstrument_ay-3-8910.pdf

И да, тактовый сигнал нужно брать со второго вывода D3 (сигнал H1). Там на схеме, видимо, имеется в виду другая нумерация корпусов (схемы-то разные были для "Ленинграда-1").

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 14 декабря 11:30:51 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305

<<<< 22 21 20 19 18 17 16 15 14 13 12 11 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,158,421

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU