CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 21 20 19 18 17 16 15 14 13 12 11 10 >>>>

Автор: Александр
Доброго дня, Константин и Коллеги !

Решил возродить свой ZX - leningrad 48k (был в рабочем состоянии, но требовалась замена убитой кроватки - ПЗУ постоянно глючило)

После замены кроватка получил 1 проблему. Не запуск с ПЗУ и соответственно не правильный матрас:
https://yadi.sk/i/TNkMJPqYLuZt0g

По совету проверил ЛП5, ИР16, КП11 - пропаял, от первой проблемы избавился матрас в норме:

https://yadi.sk/i/xu4R3JC2HVai9g

Но старта нет. Дорожки, контакты где паял проверил уже несколько раз. Новую Кроватку 2 раза уже перепаял. Имею картинку, иногда бегут полосы по бордюру.

https://yadi.sk/i/3iASJHaAYEjuLQ

А так же при включении или сбросе щелчек в динамике. При напряжении 5 вольт, потребеление 1 ампер. Сопротивление между + и землей 187 ом. Также при снятии кроватки возможно была убрана перемычка. Т.к. стоит ПЗУ 27128 - 1990 с турбо загрузкой. Пробовал 2 варианта (какой верный подскажите) :
1 - 20 ножка на 22 замыкается - на сайте SBLive Narod:

http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/2764to27128.gif

2 - Так как на видео у Константина и совету на этом сайте, 20 ногу ПЗУ на землю (14 пин).

Заказал ТЕСТ ПЗУ - пришла 2716, соответственно отогнул и замкнул 21 на 24 и на +5. Либо ПЗУ мертвая .. Вообщем с ней простой рабочий матрас. Тест не идет.
Коллеги и Константин подскажите в какую сторону капнуть ? Заранее спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 09:28:55 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Александр
На всякий случай фото платы. Работала, конструктивных изменений не вносил:

https://yadi.sk/i/b9sE8Vp3mlzMQw
https://yadi.sk/i/b6b1fO_2P1e5Zg
https://yadi.sk/i/RlHrfyarZFRJCw

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 09:50:26 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Александр
Уберите одну из перемычек на ПЗУ либо 20 - 22, либо GND - 20.

При установке 2716 или КС573РФ2 устанавливаем со смещением 3 нога кроватки - 1 нога микросхемы. Отгибаем 21 и 24 ноги и подаём +5В.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 13:15:14 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Vadim,
все верно, так и делал. Просто не написал, что со смещением вниз делал. Перемычку с 20 ножки убирал. Результат один и тот же - правильный матрас. Или ПЗУ дохлая (обманули может)... или еще что... Спасибо, за совет !

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 17:40:50 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Прозвоните мультиметром все выводы кроватки с соответствующими выводами процессора и схемы. Возможно, есть непропай.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 19:07:35 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Да два дня с тестером и все дрожки, и обратную сторону с верхней, и на замыкание со соседними дрожками. И пропаял пол платы с левой стороны. ПО ка не трогал правую - где РУ5, D15, D16, D32 и остальные ниже и правее. Осциллографа правда нет.
Хочу спаять для ПК (через зв.карту и софт). Есть ли смысл? Правда им никогда не пользовался.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 20:45:18 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Сопротивление между + и землей 187 Ом. Это при каком условии измерения? С ПЗУ, без, оба в обоих случаях?
На ощупь есть горячие микросхемы?
Перемычки под ПЗУ и D14, D2 (проволоки без изоляции) не замыкают на дорожки или выводы микросхем под ними?
Осциллограф на звуковой для низких частот видеосигнала может и подойдёт, но изображение есть.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 21:52:22 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Сопротивление между + и землей 287 Ом.(187 ом опечатался). В обоих случаях. Горячий только процессор. Но говорят SHARP сильно греется. Теплые в основном. Перемычки сразу проверил. И палату постоянно верчу, тоже проверяю. Без замыканий. Осциллограф вроде как заработал. Интересно конечно. Попробовал по книжке (Выложена Константином Айги на сайте "leningrad-1_naladka_i_remont.pdf") проверить некоторые МС. Вроде получается. Но не все... Что конкретно глянуть в данной ситуации не знаю. ЦП проверил совпадает. Даже периоды выходят. ИР22 D32 - что то не понятное. D6 периоды немного не совпадают - критично не критично ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 22:17:31 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Проверяйте наличие сигналов связанных с ПЗУ. Например, чтение из ПЗУ ~RDROM.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 1 июня 00:11:56 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Vadim, Спасибо огромное за помощь !
Проверками дошел и нашел. Очень мелкий КЗ. Ноги процессора 8 и 9. И соответственно на ПЗУ 15 и 17. Хотя ПЗУ не однократно прозванивал на КЗ, но только соседствующие ноги. Все ожил. Буду дальше шаманить. Первый шаг - добавить Кодер RGB/PAL. Затем Звук - ЧИП Ямаху ym2149f (подскажите схему сборки и подключения). И увеличение до 128 кб. Всем творческих успехов !

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 июня 11:53:10 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Денис
Поясните, как можно спалить процессор?
Для своего клона спаял коннектор для видеовыхода. Делал напрямую в скарт.
При проверке показал кубики, но уже цветные. Без ПЗУ нет матраса. Тоже самое и без процессора. Вернул взад переделки для бетадиска. Также лапша. Заменил проц и все заработало, подключил bdi с востановлеными переделками. Перенес простой унч на одном транзисторе в корпус. Прозвонил. Включаю... Опять артефакты. Чертовщина

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 июня 19:19:37 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Ivan Gagis
Денис
Возможно просто где-то плохой контакт, может кровать какая разболталась. У меня артефакты на экране были когда разъем клавиатуры дребзжал.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 июня 20:29:14 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Небольшой отчет по моей проблеме.
Применил схему привязки к уровню черного компьютера COMPOSIT из вот этого поста и черная полоса слева пропала, теперь всё ОК.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 июня 03:17:15 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Алексей E-mail: alternatet@yandex.ru
А дайте, плиз, схему как это все подключить к SVGA? Конкретно "Ленинград-1". А то телевизор домой тащить как-то...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 16 июня 14:52:13 2020 | ссылка на пост    
Автор: Ivan Gagis
<Алексей>
К SVGA без специального девайса-конвертера не подключить. Спектрум "Ленинград" выдает сигнал в формате RGB. SCART интерфейс включает в себя в том числе и поддержку RGB сигнала. Так что сейчас все подключают через скарт (гуглите подключение спектрум клонов через SCART).
На алиэкспресс можно найти девайсы для преобразования SCART/RGB в VGA.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 июня 14:35:01 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Коллеги, а Ленинград 48к без доработки до 128к может работать с музыкальным процессором AY-3-8910 (YM2149F) или же все таки нужна ОЗУ или доработка ? Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 16:36:19 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Александр
И еще вопросик по схеме:

https://yadi.sk/i/qS7YkP6BfwGZbg

10 вывод сидит на земле. А мы его должны посадить на +5 это верно ? Получаем КЗ ! И остальные пины сажаем на землю (15,1,9), а их от предыдущих направлений отрезать или оставлять ? Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 16:43:53 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Константин Айги (CXEMATEKA.RU)
Александр, «Ленинград 48k» подружить с AY-3-8910 можно. Но нужно учитывать, что большинство программ для AY требуют 128К памяти.

При настройке счетчика D4 под имеющийся кварц, входы счетчика, разумеется, отсоединяются от дорожек на плате. Подробно эта тема освещена в книжке «Ленинград-1 наладка и ремонт»:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 18:11:37 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин, спасибо.
Доработал, изображение улучшилось. Единственно, что осталось. Небольшое дублирование...

https://yadi.sk/i/8Cs0mfxA18_Y7g

Я собрал на Ямахе, подключил. По схеме:

https://yadi.sk/i/5-XjqwIIiFgOZw

Резисторы все 2,2 к. Кроме с Бипера по 10к.
Вообщем не фурычит. По тесту:

10 OUT 65533, 12
20 FOR A=0 TO 15: OUT 49149, А
30 PRINT IN 65533, IN 49149
40 NEXT A

Все столбцы 224 - конфликт с Кемпстон - как я понял.
Сделал доработку:

https://yadi.sk/i/CZ5IOMvCEP0N7A

Теперь все столбцы 255. То есть вообще вообще отсутствует чтение ym2149f.
Есть варианты, что можно еще сделать ? Спасибо заранее.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 18:42:22 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Александр
1,75 мгц CLC для Ямахи беру со 2 вывода D35 по совету. Хотя замерить нечем. У меня вместо ИР16 стоит ИР1.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 19:06:20 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Денис
если вам влом возиться с реальными дискетами и дисководами, то можно собрать эмулятор.
http://service4u.narod.ru/html/emulator.html
односторонняя плата под ЛУТ (75х105. корпус искать с учетом разъема 34р). доступные элементы. Сразу работает после сборки без наладки. перемычками назначается буква диска.
контроллер дисковода на ВГ93 все равно нужен.
Ну и образы дисков нужно конвертировать в свой формат(утилита присутствует)
IMG-20200703-233006 IMG-20200703-233135 IMG-20200703-233315 IMG-20200703-233330 IMG-20200703-233351

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 июля 10:26:33 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Юрий
Добрый день. Хочу поделиться с воими наработками, или скорее потугами. )
https://1drv.ms/u/s!Ajs6ve4gx7BfgptCDDScBo5NT0oVmw?e=EZCD1K
По этой ссылке схема и печатка для программы DipTrace https://diptrace.com/rus/ с Ленинградом. Я заказывал эту печатку у китайцев и собрал на ней комп. Но запустить его не вышло, тест говорит что-то про ошибку буфера. Доводить до ума нет времени. Может кому-то будет не лень и он возьмет эти файлы как основу для разработки или доведения до работоспособности. Обратите внимание на вот этот пост: https://www.cxemateka.ru/en/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p535
Я не помню, исправил я эти ошибки в схеме или нет, но схему я рисовал как раз с ошибочного варианта и обнаружил это уже по приходу плат из Китая. Пришлось проводами переделывать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 июля 21:29:22 2020 | ссылка на пост    
Автор: TRON655
Добрый день! Слегка перепутал на Спектруме полярность. Появились полосы в окошке, не в бордюре, что может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 августа 19:27:10 2020 | ссылка на пост    
Сообщений: 14
Автор: Ivan Gagis
TRON655
полосы вертикальные? фотку в студию.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 августа 22:37:50 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: TRON655
https://yadi.sk/i/Zo4EZ7mi0q_R1g
https://yadi.sk/i/XuGz_frXmtvwWA
https://yadi.sk/i/ukOm3U86_Nio5g
Привет!
Спаял Ленинград 48к тот что из Хабаровска модификация 2019 года. Всё на цанговых панельках. Всё проверил: непропаект нет, контакты на всех микросхемах есть. Всёравно не та шахматка - с ПЗУ просто белый экран и бордюр и квадрат - без надписи sinklair.
Что может быть? Микрухи все проверены.
Правда проверял на шестой ноге проца выдает 2.4 МГц. Мучаюсь который день. Не знаю начто грешить

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 19 августа 17:10:48 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Интересное дело, на шестой ноге процессора скачет от1,5 до 2.2 МГц. Менял кварцевый генератор с донора - тоже самое... Битая микросхема тм2? Или что то ещё? Почему нет 3,5 МГц?
https://yadi.sk/i/UmKMVzGWABFnSA

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 августа 01:59:24 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Если частота на 6-ом выводе процессора скачет, то наиболее вероятно к сигналу что-то лишнее подмешано. Где-то может быть замыкание, причем необязательно непосредственно на тактовом сигнале, а где-то выше по схеме.

Проверять надо от кварца:
D1 вывод 6: 14 МГц
D2 вывод 5: 7 МГц
D2 вывод 9: 3.5 МГц

P.S. Кстати, провода, которые брошены со стороны пайки, нужно стараться проводить так, чтобы не было риска прокола оплетки концами ножек деталей.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 августа 21:57:08 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Проверил на D1 вывод 6 - 1,7 -2 МГц. Причем при подключении к тв частота падает до 300 - 500 кГц.
Наостальных D2 (5) и D2(9) - 2,5 МГц и 3 МГц соответственно. Тоже всё плавает.
По совету товарища выпаял цанговые панели и установил микросхемы непосредственно на плату. Думается что D1 битая, потому как замыканий нет.
Помнится при первом включении - когда как только собрал, на 6й ноге ЦПУ был синус и 3,5 МГц - в последствии при повторном включении такого уже не было. :-(
https://yadi.sk/i/3dBY7l5shz2P4A
https://yadi.sk/i/BRDWvw9FbOHaOw

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 10:32:02 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Надо проверить напряжение питания на микросхемах D1 и D2. Должно быть не менее 5.0В.

Ну, и чтобы понять, верны ли показатели измерительного прибора и вообще как работает этот узел (связка D1 + D2A), рекомендую собрать его копию на отдельной макетной плате. Схема-то простая. На D1 собран тактовый генератор, а триггер D2A работает, как делитель входящей частоты пополам: выводы 1 и 4 D2A кидаются на плюс, 2 и 6 соединяются между собой, на вход 3 подается 14 МГц с тактового генератора, 5 – выход 7 МГц.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 15:06:53 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Напряжение на обоих схемах проверил, 5В есть.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 16:49:03 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Проверяю от кварца: d1(6) -1,5 МГц
D2(5) - набирает с 500 кгц до 6.99 МГц за несколько секунд - и стоит.
Затемпроверяю снова d1(6) - 1,5 МГц
Возвращаюсь, к d2(5) - так же набирает и стоит.
Потом мерю на d2(9) и ЦПУ 6 - тоже 1,5.
Что за мистика?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 17:00:47 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Если измерительный прибор не видит 14 МГц на D1-6 и при этом на выходе D2-5 присутствует правильное значение в 7 МГц, то, очевидно, что более высокая частота просто за пределами шкалы измерений прибора. На фото вроде мультиметр Richmeters RM113D, а у него, судя по данным из и-нета, предел измерения - 10 МГц.

Нужно теперь убедиться, что на выводе D2-11 присутствует 7 МГц. Далее смотрим, есть ли 7 МГц на входе счетчика D3 (вывод 5). И проверяем сигналы на выходе счетчика D3: вывод 2 - 1,75 МГц; вывод 3 - 3,5 МГц; вывод 6 - 875 КГц; вывод 7 - 437,5 КГц.

P.S. Кстати, иногда мультиметры показывают неверное значение при измерении частоты относительно земли, но при этом правильно показывают частоту при измерении относительно плюса.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 02:25:29 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Константин! Очень сильно гуляет частота.
Проверил все ноги что вы указали - сперва не было 7 МГц на d2-5, потом появилось. Поехал проверять по списку дальше d2-11 7Мгц, d3-5 7мгц, d3-2 - 1,75 и т. д.
Но вот стоит мне дойти до конца, начинаю сначала, чтобы перепровериться, начинается - на ногах d3-3 - 1 кгц, исчезло 7мгц на d2-5 и прочее. Частоты живут своей жизнью.. Где нужно медленно поднимутся до 7 МГц с 200 кгц, потом опускаются.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 14:35:44 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Может у меня мультиметр совсем гнилой. Вот всё как работает в сборе. И когда нажимаешь reset.
https://yadi.sk/i/wJZCE84A_5YuVA

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 16:21:19 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Причем вот когда снимал видео, на d3-2 - 1,75 МГц, на d3-3 3,5 МГц, а на вводе d3-5 -2,2 кгц. Как такое может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 16:48:11 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
https://yadi.sk/i/YlkFT0t3cS4UKQ
Вот так когда всё в сборе.. Начинаю подозревать, что мультиметр полное г, в плане замера частот. С другой стороны та же самая шахматка... Может дело в узле видеовыхода?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 20:20:20 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Похоже, да, измерительный прибор не совсем адекватно себя ведет.

Судя по видео, компьютер нормально запускается и работает, но отображаются только атрибуты. Мигающий квадратик – это курсор. При этом пиксели не отображаются. Пиксели формируются сдвиговыми регистрами D33, D35. С проверки этого узла и надо начинать. Кстати, на фото видно, что применен западный аналог ИР9 – 74HC165. Это не очень хорошо. Серия 74HC – это КМОП, тогда как «Ленинград» рассчитан на ТТЛ серию (у ТТЛ несколько другие уровни сигналов и временные показатели). Тут нужно использовать либо отечественную микросхему серий 555/1533, либо импортный аналог серий 74LS/74ALS.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 августа 03:26:06 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Заказал ир9 и всё то что у меня не наше стоит. Как приедет, перепаяю - отпишусь, что вышло.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 августа 16:13:40 2020 | ссылка на пост    
Сообщений: 14
Автор: Ivan Gagis
Собираюсь апгрейдить свой ленинград-1 до 128к.
У меня установлено две микросхемы ПЗУ.
Я купил 27с512 и прошил её комбинированой прошивкой из первого поста.
Память я буду использовать импортную, т.е. схема с регенерацией в 256 циклов.
Правильно ли я понимаю, что переход на 27с512 уже включается в описание доработки до 128к из первого поста? Т.е. доработку про 27с512 отсюда https://www.cxemateka.ru/v1/Other.png проедварительно делать не надо?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 24 августа 22:26:08 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
При установке 27C512 на позицию D29 (вместо двух 27C64), нужно как минимум отрезать от A13 вывод D29-20 и заземлить его; вывод D29-26 соединить с A13; вывод D29-27 нужно будет отсоединить от земли, чтобы затем подать сюда сигнал выбора ПЗУ 128k со схемы доработок. Вывод D29-1 можно оставить подключенным к +5В, тогда будет использована только верхняя часть ПЗУ 27C512, без возможности входа в TR-DOS. Собственно, без контроллера дисковода эта часть ПЗУ и не нужна.

На схеме с доработками (https://www.cxemateka.ru/v1/Other.png) показано, как правильно подключить 27C512 при подключении контроллера дисковода. Там необходимо сделать небольшую логическую обвязку, чтобы избежать конфликта TR-DOS и SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 августа 02:54:34 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305

<<<< 21 20 19 18 17 16 15 14 13 12 11 10 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,146,772

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU