CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 12 11 10 9 8 7 6 5 4 3 2 1 >>>>

Автор: Виталий
Не совсем по теме, но больше некого спросить. Мне нужно адаптировать сигнал разного напряжения, линия как я понял двунаправленая (как в оперативной памяти) 5в и 3.3в интерфейсный адаптер слишком дорогой MAX3004EUP. IDT QS316211кмоп и есть случае подыхания от малейшей статики. Подскажите какую я могу использовать? Мне главное чтобы напряжение сигнала выставлялось опорным напряжение, т е 2 разных опорных напряжения. Я уже всю голову сломал. Литературы нормальной найти не могу, в даташите не понятно будет так работать или нет. В программах моделирования таких микросхем нет, другие которые должный двунаправлено работать, работают только односторонне. В доступе есть только дорогие и спалить их не понимая подойдёт ли она не могу себе позволить. И да, время отклика должно быть не больше 6ns
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 30 октября 20:25:12 2017 | ссылка на пост    
Сообщений: 4
Автор: Vadim
Виталий, как на счёт TXB0108PWR? Время отклика 4 нс.
Статья по теме: http://we.easyelectronics.ru/Shematech/soglasovanie-logicheskih-urovney-5v-i-33v-ustroystv.html.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 30 октября 23:23:22 2017 | ссылка на пост    
Сообщений: 51
Автор: Виталий
Vadim! Боже, это то что нужно на все 100%, и доступность и корпус и цена и скорость! И главное электрическая защита до 15Кв =)
Большое при большое спасибо! А то уже 3 недели мозг ломаю что выбрать...
Ещё вопрос это уже совсем не по этой статье.
Мне нужно найти схему или чип который берёт 2 сигнала с разным прерыванием по одной шине и объединяет его в линию данный с чередованием. Такое вообще возможно?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 31 октября 18:43:52 2017 | ссылка на пост    
Сообщений: 4
Автор: ZIm
Всем Огромное спасибо за помощь и подсказки, методом титанических усилий я нашел проблему и устранил, только вся беда я не понял в чем она была. Суть следующая, заменяя микросхемы ОЗУ я выяснил как работает тест, все достаточно просто, вытащив предпологаемо неисправную микросхему и оставив панельку пустой по этому адресу при проверки буфера получал при чтении 1, в принципе логично, когда очередь подошла к D28 и я ее вытащил ситауция не изменилась, первое что сделал полностью прозвонил дорожки, проверил микросхему ИР22 все прекрасно работает, но тест не проходит, тогда я выдул феном панельку и посадил на ее место другую, и о чудо первый тест прошел, потом методом подбора микросхем нашел 3 неисправные из серии MB81256-12 10 штук, был вынужден одну поставить MN41256A-08,понимаю что так делать нельзя, но если очень хочется и надо то можно, поставив как выше указано микросхемы все заработало. В последствии загрузилась ОС 48К. Итог мои предположения по данной неисправности. Возможно плата недостаточно качественно была изготовлена и возможно в межплатном переходе (метализированное отверстие) не было должного контакта, так как я старался много олова туда не паять и делать все предельно аккуратно (НЕПРОПАЙ ИСКЛЮЧЕН, пропаивал и просматривал, и прозванивал) и когда менял панельку возможно сам того нежелая пролудил отверстия изнутри. Опять же это только предположения и другого объяснения я найти не могу...
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 ноября 00:42:30 2017 | ссылка на пост    
Сообщений: 12
Автор: ZIm
В настоящий момент, собрал читалку на стандартно приложенной схеме, на ЛН2 не захотела работать, я так подозреваю что виной сигнал со смартфона уровнем 1,3 вольт, стандартная работает отлично,только громкость нужно чуть тише на телефоне делать, так же собрал контроллер PS/2 клавиатуры с перва тоже работать не хотела точнее не работали кнопки на линии А15, долго думал перепробовал почти все кварцы свои, всякие версии прошивок, а вот осцилографом догодался посмотреть в последнюю очередь, решение оказалось простым нужна была подтяжка на 10КОм на +5В, в последствии хочу собрать контроллер ТХ клавиатуры, но пока и этого хватит. Остановился на том что начал делать доработки по стабилизации строчной кадровой развертки, прорисовки окружности и т.п.
И вот сделал все как нарисовано, а окружность как была кривой так и осталась еще и трястись начала, Если кто обладает какой либо информацией по этому вопросу, буду признателен за подсказку!!!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 ноября 00:53:30 2017 | ссылка на пост    
Сообщений: 12
Автор: Randomize_Usr
to ZIm.
Можешь попробовать схему из Композита, она на двух ИР1 или ИР16.
http://sblive.narod.ru/ZX-Spectrum/Composite/Composite.htm

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 ноября 01:02:44 2017 | ссылка на пост    
Сообщений: 23
Автор: Randomize_Usr
Подключил музыкальный сопроцессор.

Второй разьем на кабеле, для FDD контроллера, тоже под односторонний монтаж. Осталось причесать платку.
Схема:
[url=http://d.zaix.ru/4Sa6.pdf]скачать 4Sa6.pdf[/url]
Фотошаблон или ЛУТ:
[url=http://d.zaix.ru/4Saa.pdf]скачать 4Saa.pdf[/url]
Расположение элементов:
[url=http://d.zaix.ru/4Sag.pdf]скачать 4Sag.pdf[/url]

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 5 ноября 19:09:58 2017 | ссылка на пост    
Сообщений: 23
Автор: ZIm
Randomize_Usr, спасибо за наводку я все таки добился того что бы заработала предложенная доработка на этом сайте, причина оказалась очевидной, на месте микросхемы D33 у меня стоял импортный аналог SN74HC165N и видимо либо фронты у нее другие или еще какие параметры (В подробности не в давался) Заменил на отечественную 1533ИР9 и все сразу получилось, единственное D2 у меня стояла тоже импортная и из-за этого слева при выполнении доработки появлялась темная полоса, заменил на 555ТМ2 и все стало работать как нужно. Сей час думаю о том как расширить память до 128Кб.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 03:19:06 2017 | ссылка на пост    
Сообщений: 12
Автор: iegova
Добрый день! Посмотрев ваше видео про сборку ленинграда загорелся идеей собрать свой. Плату соответствующую искать не стал, решил сделать на макетке. В общем почти все получилось, но проблемы с изображением, картинка плывет вверх. Прошу не пинать ногами, в спектрумах у меня опыт нулевой, да и вообще в радиолюбительстве. Я так понимаю какая то проблема с кадровой синхронизацией. Надеюсь на вашу помощь. Микросхемы использую 555 и 1533 серии, память winbond w27c512, кварц на 14.000 МГц. Замерял питание на каждой микросхеме, варьируется от 4,85 до 5,1 вольт

http://s018.radikal.ru/i512/1711/9d/5d494604d46d.jpg

Делал по схеме с sblive.narod.ru со следующими доработками, только ПЗУ для выбора 48к перемычками к +5в подтянул.

http://www.cxemateka.ru/v1/Other.png

Так же делал привязку к черному на микросхеме 1533тм2.
тест памяти показал вот это

http://s019.radikal.ru/i641/1711/7e/cd865be9a113.jpg

вот еще пара фоток

http://s012.radikal.ru/i319/1711/6c/fb9df4bc7f76.jpg

http://s013.radikal.ru/i323/1711/37/5041eafbc2ad.jpg
задал уже этот вопрос на zx-pk.ru, но все таки одна голова хорошо а две лучше

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 13:55:40 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
iegova, для стабильной работы системы желательно добиться, чтобы питание на всех микросхемах (особенно на РУ5-х) было не ниже 5.0 вольт. Только после этого можно ожидать прохождение теста памяти.

Ленинград напрямую к VGA монитору подключить никак не получится. Тут нужно специальное устройство сопряжения, вроде GBS8200 или этого:
http://www.zxkit.ru/katalog-1/zxkit-001

Ну, а самый простой вариант – подключить его через SCART к телевизору.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 18:22:28 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, это просто разъем 15 пиновый, я подключаю к RGB Scart. Какой то косяк с кадровой синхрой, пока не пойму в чем дело
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 18:48:28 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
ZIm, SN74HC165N - это КМОП (CMOS) микросхема, у неё токи отличаются от ТТЛ логики:



74HC – это аналог серии 1564 (КМОП)

В Ленинград стоит ставить: 74LS – аналог 555 серии, 74ALS – аналог серии 1533, ну или 74HCT (где "T" указывает на совместимость с ТТЛ-уровнями входных сигналов).

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 19:15:03 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
iegova, в любом случае, сначала надо питание стабилизировать. Если напряжение на некоторых участках платы ниже 5.0 вольт, стабильная работа системы маловероятна.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 19:20:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, это я накосячил, неправильно понял схему доработки сигнала int, написано что надо отрезать С2 от D8-8(12), но видимо понял надо отрезать С2 полностью от остальной схемы. Вернул как было на оригинальной схеме по умолчанию, тест озу прошел, но все равно дергание кадров((

http://s014.radikal.ru/i326/1711/20/79afc3ccdcf3.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 20:58:28 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
iegova, чтобы стабильную картинку получить, нужно частоты строк и кадров привести к стандарту. Об этом подробнее в этом посте:

http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 ноября 17:44:17 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: ZIm
Доброго всем времени суток, Подскажите кто знает как формируется сигнал IORQ На 20 ноге Z80. из-за его отсутствия на 15 выводах D38, D37 тоже нет сигнала соответственно клавиатура не работает. Если кто сталкивался подскажите в чем причина или куда посмотреть ???? За ранее благодарен!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 ноября 23:47:01 2017 | ссылка на пост    
Сообщений: 12
Автор: Randomize_Usr
Сигнал IORQ формирует процессор при обращении к внешнему устройству. Совместно с сигналами RD или WR соответственно будет производиться чтение или запись в устройство адресуемое в этот момент шиной данных. Можно скачать книжку Z80 на русском там понятно написано. У вас скорее всего непропай или плохой контакт в панельке процессора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 ноября 00:37:45 2017 | ссылка на пост    
Автор: Александр
И снова здраствуйте. Давненько я не заходил сюда, не было просто времени. Короче, моё новое видео про Спектрум: https://youtu.be/fWOtyesDlhk
P.S. после съёмки я провёл коррекцию дешифрации, как на видео у Константина. Помехи из динамика исчезли, зато клавиатура перестала работать. Пришлось немного перепаять входы у eD7 - вместо eD7-13 на eD5-2 - eD7-13 на eD6-4, и вместо eD7-9 на eD5-1 - eD7-9 на D14-3. Только после этого клавиатура заработала. Ещё пока что не работает TR-DOS - при его выборе комп просто перезагружается. Остальные пункты меню все работают.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 ноября 18:31:12 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, если в динамике слышен треск при старте доработанного до 128К Ленинграда, то это означает, что коррекция дешифрации портов не сделана или собрана неверно. В принципе, многие программы и так будут работать, но с незапланированными звуковыми или бордюрными эффектами )

Ну, а вход в TR-DOS будет работать только после подключения контроллера дисковода.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 ноября 22:20:45 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин,я понял. Пока нет денег на контроллер, займусь звуковым процессором и соберу новую клавиатуру. Кстати, а чем AY-3-8910 отличается от YM-2149F?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 ноября 17:09:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
AY-3-8910 и YM-2149F взаимозаменяемы. Однако отличия всё же есть:

"Назначение выводов микросхемы YM2149F соответствует AY-3-8910, за исключением вывода 26, который включает внутренний делитель входной частоты вдвое, если на него подан низкий уровень. Если этот вывод никуда не подключён, микросхема работает так же, как AY-3-8910. Помимо встроенного делителя входной частоты, YM2149 имеет отличие в разрядности ЦАП огибающей — 5 бит вместо 4 (с логарифмической шкалой). Также для тона используются только нижние (тихие) 4 бита. Это создаёт отличие в тембре звучания огибающей, делая его более ярким, однако позволяющим получить бо́льшую гибкость баса."

https://ru.wikipedia.org/wiki/AY-3-8910

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 19 ноября 19:45:48 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Randomize_Usr
Здравтвуйте все! Подключил FDD контроллер.
https://yadi.sk/i/VbrRxQZi3PuWvW
https://yadi.sk/i/f5fPRNk13PuXww
https://yadi.sk/i/syaSAsVj3PuXzg
https://yadi.sk/i/sYzthIP43PuY36
Схема: https://yadi.sk/i/yST5xpuy3PuY5W
https://yadi.sk/i/bI4dXPFu3PuY8s
Можно сказать задышал сразу, долго не мог понять почему глючит тр-дос. Уменьшил питание пзушки, подключил через диод и еще иногда глючил по другой причине. Добавил конденсатор 220 пф или исправлялось заменой 1533тм2 на 155тм2.
После полной отладки выложу проект с исправлениями, для повторения. Надеюсь это кому-нибудь будет интересно.
Надо признать, что я в дисководах и fdi разбираюсь еще хуже чем в спектруме, поэтому куча вопросов к уважаемому Константину Айги.
Константин! Этот контроллер из книги Инфоркон "Переферия своими руками" он с небольшими изменениями, приходилось ли вам подключать такой контроллер?
Пока отформатировал две дискеты (окно плотности заклеил), обе в конце форматирования показали меньше 2544 сектора на несколько штук. потом попробовал записать програмку на бейсике из трех строк. Обе попытки закончились ошибкой и повреждением первого сектора. Одна из дискет отформатировалась на виндовс у второй 0 дорожка повреждена.
Можно ли испортить дискету дисководом?
Пока записывать на bdi не буду, дискеты дефицит.
Какой способ записи образов дискет трдос посоветуете из под виндовс?

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 22 ноября 00:06:41 2017 | ссылка на пост    
Сообщений: 23
Автор: Константин Айги (CXEMATEKA.RU)
Randomize_Usr, если ПЗУ работает нестабильно, то это может быть признаком неисправности микросхемы. Когда контрольная сумма ПЗУ плавает, некоторые манипуляции с питанием могут помочь стабилизировать её работу. Но лучше такую ПЗУшку заменить.

Я бы посоветовал все-таки использовать комбинированную прошивку в корпусе 27C512, как сделано тут:
http://www.cxemateka.ru/v1/Other.png

Это положительно скажется на стабильности работы системы, т.к. и энергопотребление снизится, да и меньше будет нагрузка на адресную шину и шину данных процессора. Кроме того, на вышеприведенной схеме есть необходимые доработки, исключающие конфликты портов Спектрума и BDI, а также конфликт ПЗУ TR-DOS и ПЗУ 128К.

Ну, а сам контроллер вполне стандартный. При правильной сборке и подключении должен работать нормально.

Кстати, замена 1533ТМ2 на 155ТМ2 при исправности обеих микросхем по идее влияет только на энергопотребление системы. 155-я серия потребляет больше и создает больше шумов на шину питания. Возможно, тут недостаточно блокировочных конденсаторов... Вообще, стоило бы проверить напряжение на разных участках конструкции – желательно чтобы оно не опускалось нигде ниже 5.0 вольт.

Да, окно плотности записи заклеивается, т.к. ВГ93 не работает с дискетами высокой плотности (HD). Дискеты нужно форматировать на Спектруме из-под TR-DOS. А уже затем на предварительно отформатированную дискету можно записать образ, например, при помощи PC-утилиты – TELEDISK.EXE (DOS):
http://www.cxemateka.ru/ftp/teledisk_v2.23.zip

Если образ записать без предварительного форматирования дискеты на Спектруме, то TR-DOS, скорее всего, такую дискету не прочтёт.

TELEDISK понимает образы TD0. Любой TR-DOS образ можно легко преобразовать в этот формат при помощи утилиты TRX2X (DOS):
http://www.cxemateka.ru/ftp/trx2x.zip

Образы дискет берем отсюда:
http://vtrdos.ru/

P.S. Испортить дискету исправным дисководом нельзя.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 22 ноября 06:10:44 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, разобрался. Во первых перепутал номиналы блокировочных конденсаторов, впаял пф вместо нф, пришлось перепаивать. Во вторых спаял фильтр питания на дросселе и на старом ЭЛТ телевизоре картинка хорошая, ниче не дергается. Правда он без скарта и приходится только в ч\б режиме юзать. Читалка на К554СА3 не заработала совсем, хотя несколько микросхем попробовал. Заработала от пентагон-48 на 561ЛН2.
Но на LCD теликах со скартом, кадры все равно дергаются. Подскажите как привести к совместимости с современными ТВ. Есть смысл укорачивать синхроимпульс, или еще какие доработки помогут?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 ноября 12:08:37 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
Моё новое видео про Спектрум, а точнее, про новую клавиатуру для спекки:https://www.youtube.com/watch?v=9-tQgIgsIeo&t=2s
Кстати, вот трафареты: http://s018.radikal.ru/i522/1612/f5/210cbac5c0f5.jpg
Получше найти не смог, уж извините, я скачал и распечатал их уж очень давно, а файл удалил((
По вопросам пересылки кнопок - в ВК: https://vk.com/electro_crush или по e-mail:
electrocrush95@gmail.com

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 02:30:02 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Ещё вопрос - я слышал о таком устройстве, как Covox. Я могу его собрать и подключить к Спектруму на шину данных D0-D7, но мне нужно знать, будет ли он работать также, как и AY/YM???
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 02:35:24 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
iegova, обычно для стабильной картинки достаточно стабилизировать частоту строк в 15,625 КГц (снимать показания надо с 8-го вывода D40) и, обязательно, правильно собрать привязку к уровню черного:
http://zxbyte.ru/leningrad_video_out.htm

Александр, если Covox правильно собрать, разумеется, работать будет. Я делал когда-то подобные доработки - успешно )

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 09:44:28 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, привязку к черному делал. И на старом телике нет проблем с изображением, но видимо новые тв слишком критичны к стандартам. Как исправлю это отпишусь.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 20:42:16 2017 | ссылка на пост    
Сообщений: 13
Автор: Randomize_Usr
https://yadi.sk/d/T2iwiGe43Q2QwB
Проект в P-CAD 2000, Книга "Переферия" В ней схема и описание наладки аналогичного контроллера.
Форматирование заработало даже лучше чем я ожидал. Отформатировались все дискеты даже которые ПК под ОС Виндовс не смог отформатировать. Загрузка предварительно созданной с помощью teledisk дискеты работает.
Пока не работает запись, буду разбираться по мере возможности. Дискеты действительно не портятся при записи, портится 0-я дорожка, после прекрасно форматируются.
Что я сделал чего нет на схеме:
1. Сигнал блокировки ПЗУ Спектрума (CSTRDOS НА СХЕМЕ) взял с 11 выв.DD10, транзистор ненужен.
2. DD5 не устанавливал (пока запись не работает)
3. Добавил конденсатор 750пф (наверно можно и меньше, но у меня небыло под рукой) между 2 выв.DD5 и общим проводом воткнул в панельку между 2 и 8 выводом. (Без этого конденсатора дискеты форматировались с ошибками)
4. Добавил резистор 1к между 12 выв. и +5в DD5, воткнул в панельку между 12 и 16 выводом.
Резистор не понадобится если установить микросхему DD5.
5. Добавил конденсатор 220пф между 12 выв.DD1 и общим проводом.(без него иногда глючил в tr-dos)
6. Уменьшил напряжение питания ПЗУ. 28 выв. отрезал от питания и включил в разрыв диод. Анодом к +5в катодом к 28 выв.(Без этого совсем в tr-dos не заходилось. Всякие глючные надписи высыпались на экран. Возможно это у меня такие ПЗУшки т.к. тест 48кб записанный в 573РФ2 и вставленный в контроллер, запускался без ошибок при входе в TR-DOS.)

И вопрос к знатокам. Чтобы это исправить надо делать привязку к черному или это другая проблема?


Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 25 ноября 02:25:07 2017 | ссылка на пост    
Сообщений: 23
Автор: Randomize_Usr
Забыл написать, доработки D34.4 , eD7.2, eD7.3 я не делал т.к. они есть в схеме контроллера BDI.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 ноября 02:39:12 2017 | ссылка на пост    
Сообщений: 23
Автор: Shram E-mail: kr1033eu1@inbox.ru
всем привет, скажите а как производить настройку экрана по горизонтали, то есть сдвинуть его влево в право?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 ноября 18:56:00 2017 | ссылка на пост    
Автор: Shram E-mail: kr1033eu1@inbox.ru
и еще есть вопрос к тем кто знает, выполнил расширение памяти до 128к, и при проведении теста пишет ошибка порт конфигурации записанно 00000000, считано 00000111, куда смотреть где искать ошибку
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 ноября 01:10:08 2017 | ссылка на пост    
Автор: 156
Спасибо за видео. Аж захотелось самому спаять,но где взять готовый набор?
Свой первый спек, который мне собрал отец на новый год, выкинул. О чем жалею.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 ноября 12:47:21 2017 | ссылка на пост    
Автор: Randomize_Usr
to Shram
Описание теста 128
http://d.zaix.ru/4Kgd.txt
ошибка порта конфигурации, возможно озу барахлит.
надо чтобы на озу напряжение было не менее 5в, у меня 5.1 например. конденсаторы повесь на каждую озушку по питанию.
изображение на Ленинграде сдвинуто немного, это нормально.
Я использую CGA адаптер
CGA/EGA to VGA HD Video Converter HD9800 GBS8200 на алиэкспресс 1000р стоит. им можно скоректировать положение и размер изображения.
На телевизоре элт знаю, что можно изображение скорректировать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 ноября 17:09:48 2017 | ссылка на пост    
Сообщений: 23
Автор: shram E-mail: Kr1033eu1@inbox.ru
Posts, огромное спасибо за ссылочку, давно искал такое описание.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 ноября 21:28:40 2017 | ссылка на пост    
Автор: Александр
Доброго времени суток! Пока схема моего синтезатора находится в разработке, я хотел бы спросить - можно ли выход биппера соединить с выходами AY, чтобы сразу в динамиках было слышно и синтезаторное, и бипперное звучание???
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 ноября 00:19:47 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё - сейчас думаю, каким методом его лучше подключить - через "шлейф" или "картриджным" методом через ОНП-КС-23???
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 ноября 01:10:06 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Randomize_Usr, режим записи на контроллере по многим причинам может не работать. Для начала, стоит проверить частоту на 24 ноге ВГ93. Частота должна быть равна 1 МГц. Штука в том, что ВГ93 работает и на удвоенной частоте, но только в режиме чтения. Кстати, существует доработка – турбо ВГ93. В режиме турбо, дисковод будет работать заметно быстрее и тише. Тут подробнее об этом:
https://zxpress.ru/article.php?id=4543

Также причиной проблем может быть глючная ВГ93, решается заменой микрухи.

Вот эту тему еще рекомендую изучить:
http://zx-pk.ru/threads/15046-podklyuchenie-3-5-floppy-k-pentagonu.html

Контроллер все-таки рассчитан на дисководы 5.25, а у них есть некоторые отличия от 3.5 дисководов, в частности присутствует съёмная резисторная сборка на входе – вынимается при установке дисковода вторым (B), третьим (C), четвертым (D):
http://zx-pk.ru/threads/15046-podklyuchenie-3-5-floppy-k-pentagonu.html?p=357839&viewfull=1#post357839

Что касается проблем с цветностью. Тут видимо что-то с сигналом BRIGHT. Вот недавно один товарищ подобную проблему решил так: "в цепях R+D1, R+D2, R+D3 понадобилось большее сопротивление. Брайт черный отображался как фиолетовый".

Shram, ошибка порта конфигурации чаще всего связана с неисправностью или неверным подключением ТМ9.

156, готовый набор для сборки Ленинграда купить сейчас едва ли где-то возможно, но все необходимые компоненты в продаже есть. Полистайте эту тему, тут есть ссылки на то, где плату Ленинграда можно купить. Ну, а остальные компоненты – не дефицит.

Александр, да, выход AY с биперным иногда объединяют. Вот соотв. фрагмент из схемы известного клона Scorpion (сигнал AUDIO = бипер):



Ну, а периферию все-таки лучше подключать через слот разъемы, краевые разъемы. При подключении шлейфом неизбежно возникают лишние "наводки", поэтому обычно каждый сигнальный провод в шлейфе чередуют с землей. Но всё равно надежно впаянный разъем предпочтительнее шлейфа.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 29 ноября 09:54:41 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Russell73
Привет всем. У меня вопрос. Имел ли дело кто нибудь с компьютером КР-05? Расположение и маркировка микросхем примерно такая же, как в Ленинграде 48к. Фото из сети- https://4.bp.blogspot.com/-ICXpyxI427c/WK8mudOAB7I/AAAAAAAACow/iFS_HXMDF_UfaNROSIYylMo-Q3rtv9MUwCLcB/s1600/20161116_171214.jpg

Применимы ли к нему доработки от Ленинграда 48к? (расширение памяти, установка муз сопроцессора и т.д.)

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 ноября 05:43:16 2017 | ссылка на пост    
Сообщений: 2
Автор: 156
КР-05 "Руководство по эксплуатации с принципиалками" https://cloud.mail.ru/public/Aa3Q/DAR5dDDU7
Источник - http://zx-pk.ru/threads/28156-elektronika-kr-05-pomogite-razobratsya-s-nominalami.html
А подскажите насколько близок к оригинальной схеме "Ленинграда" - LENINGRAD-2012? ПЗУ там посовременний...

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 30 ноября 07:12:34 2017 | ссылка на пост    

<<<< 12 11 10 9 8 7 6 5 4 3 2 1 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,146,391

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU