CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Автор: Роман
Всем доброго дня! С наступающим праздником 9 мая!!! Ну а теперь опять к нему, покоя не даёт, ленинградику) а может ли если нет генерации импульсов( ни частоты ни синуса на 6-й ноге проца, да и на самой D1)проблема с м/с D1 ЛН1, т.к. Кварц уже менял, нельзя ли как нибудь Ее проверить без другой такой? При подаче питания не греется.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 8 мая 16:14:44 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
О Боги, есть синус на D1!!! Даже 3,5 МГц на проце! Картинки нет, ну это ещё без доработки) кстати не поделитесь доработкой видеовыхода 2 й способ, а то zxbyte.ru что то не грузится уже 2й день (
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 8 мая 19:05:17 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Андрей
Роман, держи. У меня почему то не заработала. Возможно где то напутал.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 мая 01:58:39 2017 | ссылка на пост    
Сообщений: 1
Автор: Аноним
Фотохостинг yapx.ru
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 мая 17:25:31 2017 | ссылка на пост    
Автор: Roman
Ребята, мне помогли опознать что за плата компьютера у меня имеется, если у кого совершенно случайно есть схемы на

Radon Plus

поделитесь пожалуйста кому не жалко........

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 мая 18:09:20 2017 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
Roman, тут есть наиболее близкий вариант схемы:
http://sblive.narod.ru/ZX-Spectrum/PLM-X/PLM-X.htm

А в хорошем качестве тут:
http://sblive.narod.ru/ZX-Spectrum/LUT216/LUT216.htm

P.S. А Radon Plus построен совсем по другой схеме...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 13 мая 07:20:17 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Всем привет товарищи! Надеюсь что темка еще жива. Вот выложил запуск (уже что то, до этого был просто темный экран) https://youtu.be/17DdnQdAQM8
Доработку видеовыхода еще не делал. Собственно посоветуйте в какую сторону копать, для стабилизации картинки? Или же без доработки видеовыхода нет смысла что то искать? Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 24 мая 15:36:43 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, без доработок у Ленинграда видеосигнал нестандартный будет.

Как минимум привязку к уровню чёрного надо вводить. Ну и желательно частоту строк привести к стандарту, но это дело второе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 25 мая 08:40:38 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Может глупый вопрос, но все же спрошу. По доработке видеовыхода с сайта zxbyte.ru 2-й вариант, я так понимаю на схеме не указано, на дополнительные ЛА1(D```.1), ЛИ1(D``.1) и ТМ2(D`.1-2), нужно подавать на контакты 14, 7 питание +5 и -5В соответственно?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 26 мая 19:33:12 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, это само собой ) TTL логика запитывается стандартным образом, поэтому на схемах эти соединения не отображают.

Я, кстати, собирал как-то эту доработку – заработала. Только вместо ЛА1 я использовал ЛА4, что логичнее, ну и конденсатор понадобился между землей и выводом 4 ТМ2.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 05:41:52 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин спасибо! сделал все как предложили (заменил ЛА1 на ЛА4). Вот выложил видео
https://youtu.be/TA_NzkjjhTQ
Пока стабильной картинки не увидел, однако в момент включения выключения провода SYNC (с платы Ленинграда) видно как кратковременно отображается нормальная картинка.Что посоветуете? Может требуется задержка сигнала синхронизации...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 10:11:00 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Ммм... или не задержка сигнала), а как нибудь поколдовать с SYNC!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 10:25:31 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Правда конденсатор между 4 и землёй не ставил.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 14:46:25 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Замерял KS на D40.6 период 20 мс, SS на D40.8 период 64 мкс...
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 мая 11:41:28 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, а вывод на экран идет через PAL-кодер?

Кодер будет покапризнее по отношению к частотам строк и кадров. А вот при подключении через SCART после введения привязки к чёрному, обычно проблем с картинкой не наблюдается, даже при не совсем стандартной частоте строк (зависит, правда, от телевизора).

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 мая 13:54:54 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин, подключаю через PAL кодер от Nedopc
На сайте zxbyte.ru также нашёл информацию о том что наряду с доработкой видеовыхода для тв тюнеров и пал кодеров требуется уменьшить длительность синхросигнала. Вот только как ту схему наложить на доработку видеовыхода...не пойму. Встречал в инете что можно уменьшить синхросигнал вторым этажом поверх D40 (http://xlat.livejournal.com/581417.html), но там тоже без подробного описания. Жду из Китая модуль для подключения к монитору GBS8200.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 мая 14:21:18 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Всем привет! Давненько я не заходил сюда! Всё ждал микросхемы памяти из Китая. Они пришли, я доработал до 128к и выложил видео об этой доработке: https://www.youtube.com/watch?v=uLoI70-56Wc&feature=youtu.be
P.S. У меня ещё все инверторные входы-выходы на D1 и D34 были заняты двумя доработками, в частности доработкой видеовыхода (2 вариант с zxbyte.ru) и доработкой сигнала тактовой частоты на ЦП, поэтому я напаял вторым этажом отдельную, так называемую eD8 - к555лн1 и при доработке использовал её инверторы.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 31 мая 20:29:17 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
P.P.S. Склоняюсь к тому, чтобы сделать буферизацию RAS - сигнала и провести коррекцию дешифрации портов ввода-вывода.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 31 мая 21:12:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, сигнал OUTIORQ вводится для нормальной работы контроллера дисковода. В отсутствии контроллера дисковода элементы eD7.3 и eD7.1 будут работать просто как повторители сигнала (резистор, подтягивающий сигнал выбора TR-DOS к плюсу, должен обязательно присутствовать). А 6-й вывод eD3.2 должен в любом случае оставаться подключенным к D14-13.

Сигнал RAS трогать не стоит. Тем более что эта фирменная память очень надежна. А вот дешифрацию портов ввода-вывода сделать будет надо, но для начала рекомендую разобраться с тестом памяти.

По какой-то причине программа теста зацикливается на начальном этапе работы. Причин может быть несколько:

1. Программа прошита с ошибками. Проверить легко, если установить другую прошивку, например стандартный бейсик 48k. Если стандартная прошивка работает, то с большой долей вероятности проблема в самой прошивке теста...

2. Доработка собрана с ошибками, причем проверить стоит в первую очередь прохождение адресных сигналов A1, A14 и A15. Нужно убедиться, что они заведены правильно и, что важно, не замкнуты с чем-то посторонним. Сбой в работе теста может быть вызван именно замыканием на адресной шине.

При правильной сборке доработки, этот тест будет работать без всяких зацикливаний даже при напрочь убитой памяти )

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 08:37:19 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Роман, рекомендую еще проверить частоту строк:
http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Кстати, что любопытно, картинка, полученная при правильном подключение через SCART у меня лучше, чем через китайскую плату GBS8200 (у меня имеется такая).

А вообще, планирую разработать своё устройство для подключения клонов Спектрума к современным экранам. План уже готов, скоро начну экспериментировать в этом направлении )

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 1 июня 08:46:47 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин спасибо за советы! На D40.8 частота 15,625 Khz, правда иногда проскакивает 15,385... это не критично же?..Вот, приехал GBS8200, подключив получил следующее https://youtu.be/lFEDHlqTSOQ
Правда чтобы получить картинку пришлось подредактировать, посредством настроек платы, сдвинул вправо, чуть вниз, подкорректировал яркость и контраст и вот он матрац, вроде прикольный такой) Про качество GBS, да действительно как то не очень отображается, все таки шумы все равно присутствуют на экране.Ну да ладно, пока и так сгодится. Следующим шагом проверка с ПЗУ,https://youtu.be/LarSpXMyfek
Вот теперь думаю, или ПЗУшка как то криво зашита (прошивал продавец, наверное) или вообще не была прошита, или же может что нибудь с процессором. Можно ли как то проверить работу ПЗУ?... или все таки только программатор в помощь))) На счет устройства подключения к мониторам, очень актуально!!! Ждемс:)))

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 17:49:46 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Довольно странно видеть для меня, что 48к прошивка работает на доработанном до 128к компе - но она работает!!! В теории я думал, что после доработки до 128к прошивки с 48к работать не будут. Отказалась работать только одна из всех имеющихся 48к прошивок - записанная на км573рф8а. Остальные были записаны на 27c256 и ещё одна на 27с512. Они заработали. А насчёт битого теста-не знаю. Человек мне записал её на 24-пиновую EEPROM, а не на 28-пиновую. Я же её подсоединял так же, как и тест 48к (он тоже был записан на 24-пин), но 48к тест запускается после доработки, что тоже странно. Ещё я обнаружил на плате ошибку - вместо массы на 20 ногу микросхемы памяти подавался сигнал RDROM прямо с 3 и 4 ног микросхемы D12. Ещё я заметил, что доработка на видео немного отличается от доработки на самих схемах - вместо того, чтобы по схеме подцепить первый вывод eD7 к её 10 выводу, вы его подцепили изначально к 4 выводу eD5.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 21:07:45 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Готов сделать предположение, что проблема в шине A1, поскольку из-за 24 пинов вместо 28 пинов тест-микросхема не использует шины A14 и A15.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 21:56:08 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Роман, именно так, картинка с GBS получается шумноватой и это проблема именно GBS, а не "Ленинграда".

Тут, скорее всего, неисправна одна из микросхем памяти (на шине D1, судя по картинке). Рекомендую поменять D22 (565РУ5).

Александр, если стандартная прошивка работает, то наверняка тест прошит с ошибкой или контрольная сумма прошивки плавает, т.е. сама микросхема сбойная.

Поскольку бейсик 48к работает, то можно с ленты тест загрузить, чтобы проверить правильность доработки:
http://www.cxemateka.ru/ftp/test_v4.30r.tap

А RDROM может быть и на 20-ю ногу ПЗУ заведен, логику работы это не меняет.

Кстати, многие программы для Спектрума 128к будут работать и со стандартной прошивкой 48k (при правильно собранной доработке, разумеется).

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 23:02:58 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Провёл тест. Пишет порт 31 (кемпстон) не реализован
Тест порта #FF Порт атрибутов не реализован
Тест портов расширения порты отсутствуют
Тест порта #7FFD Порт на чтение недоступен
Тест Шина данных стабильна в цикле подтверждения прерывания содержит #FF
Далее нажал enter Вывел мне это:
System configuration:
Computer: Spectrum\48k\Z80
AY-chip: Absent
Mouse: Absent
Cmos clock: Absent
Sound card: Absent
Modem: Absent
Takt\INT: 65184, V=99.750994%
Lines on screen: 291
Interrupt vector: #FF
Turbo regime: Off
Shadow RAM: Absent
Length of INT: 60 cycles
Low mem speed: 69892-66391
High Mem speed: 69892-66391
У вас общее поле памяти с торможением.
Нажал снова enter, он мне написал "Дверь закрой!" Это шутка?))
Нажал ещё раз:
Тесты стабильности шины данных TR-DOS'a и позиционирования пропущены.
Тест порта #FE (клавиатура) Порт в порядке
Тест порта #FD (системный порт) 48к однако...
Тест регистров музпроцессора Таковой в системе отсутствует...
Дальше не имеет смысла писать, он там дальше проводит тест на наличие мышки и всё, вроде бы.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 июня 02:19:12 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Неужели всё так плохо с прошивкой? Этот человек ещё мне присылал помимо теста прошивку 128к на 27c512. Я лично указал, какую надо записать - с этого сайта. Сейчас её включаю и она, как ни странно, тоже не работает, выдавая мне вот такую ерундистику: Image Hosted by PiXS.ru
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 июня 02:33:06 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Константин, D22 попробовал заменить, ничего не изменилось:( даже все РУшки пробовал менять, стабильно ничего. У продавца платы сегодня узнал что ПЗУ все таки прошитая... что ж буду дальше искать! Если что посоветуете ещё буду очень благодарен!:)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 июня 18:23:02 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Александр, да, тестовая прошивка явно нерабочая.

А вот системный тест 4.30 расширенной памяти не видит, значит есть какие-то ошибки при сборке доработки. Тест, кстати, дельный, хоть и, да, не без встроенных шуток )

Прошивка для Спектрума 128к и не будет работать, пока не заработает порт #7FFD. Работу порта легко проверить из бейсика 48к. Достаточно ввести команду:
OUT 32765,31

Если после ввода этой команды система сбрасывается и выходит в черный экран, значит порт #7FFD работоспособен. Если же нет, тогда нужно проверять прохождение сигналов IORQ, WR, A1, A15 вплоть до 9-го вывода eD1.

Роман, если микросхемы памяти рабочие, то надо проверять нет ли где замыканий особенно на выходах РУ5 (выводы 14, сигналы MD0-MD7). Также под вопросом D32. Но вообще, похоже, что где-то все-таки замыкание.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 06:19:00 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин, осциллографом посмотрел D32.11 - 1,71 Mhz, осциллограммы похожи с осцилограммами в примечании книжки по наладке. На РУ5, D2+(1до8).4 - 3,51 Mhz., на 4-х и 15-х выходах также сверял осциллограммы, по ним все Ок. На 15-м выводе РУ5 частота прыгает 3,51 на 1,7 и обратно. Также в брошурке вычитал "...для контроля и попытки вывести ZX в монитор следует в пределах 4,8-5,3В. изменять напряжение питания.". Опять к питанию, замерил напряжение на D32- 4,6В. Может из за питания?! Доработки по питанию еще не делал на D34 - D2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 14:42:35 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, 4,6В это очень мало для "Ленинграда". Желательно, чтобы на всех участках платы напряжение питания было не ниже 5,0В. А при напряжении в 4.6 вольт система просто обязана глючить )
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 17:27:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Ок! Тогда бегу в магаз за трансом и паяю бп) Однако меня не покидает мысль почему же бп ATX не справляется((( Хотя Александр запускал от такого же с доработками.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 19:16:06 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Имеет значение надежность разъема питания и толщина проводов. Иногда, в зависимости от качества платы, дублируют толстыми проводами шины питания от одного края платы, до другого. Но это в совсем запущенных случаях.

Для Спектрума лучше использовать современные импульсные блоки питания, они, как правило, и мощнее и надежнее, чем линейные. Хотя, вот у меня есть старенький линейный блок питания от клона "Дельта-С", так он вполне тянет "Ленинград" 128к с музыкальным сопроцессором, но, правда, без контроллера дисковода.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 20:51:53 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Роман, мне просто повезло с БП. Не все ATX блоки способны выдавать стабильное напряжение. Сказывается на этом не только фирма-изготовитель, но и "возраст" блока.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 4 июня 03:18:07 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Алексей
А скажите пожалуйста, какой размер у этой платы ?
На сайте для заказа платы нужно указать размер, а по ссылкам на sblive.narod.ru я что-то не нашёл нигде.

Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 7 июня 18:00:18 2017 | ссылка на пост    
Сообщений: 3
Автор: Александр
Моя плата изготовлена по образцу оригинала. Размер:
20х11,8см.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 8 июня 18:43:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Алексей
Ещё вопрос, начал искать микросхемы памяти.
Есть просто 565РУ5, есть КР565РУ5Г, КР565РУ5В и ещё несколько вариантов.
Разница в цене там до 10 раз.
Можете ли сказать, какая между ними разница и какую серию стоит выбирать ?

Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 10 июня 13:55:51 2017 | ссылка на пост    
Сообщений: 3
Автор: Андрей E-mail: Alexflexo@ukr.net
Алексей:Разницы практически никакой, литература не советует брать с буквой Д, хотя я видел микрухи с такой буквой в нескольких Ленинград-1 и все они нормально тестились в цикличном тесте памяти. На практике я проверял все вышеназванные вами микросхемы и пришёл к выводу, что все они при установке в Ленинград-1 подходят только на 50-70%, так как его упрощённая схемотехника более требовательна к этим микросхемам. Вобщем можно любые, но предварительно проверенные на подобном аппарате и с одинаковым индексом.
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 11 июня 01:53:41 2017 | ссылка на пост    
Автор: Алексей
Подскажите ещё, пожалуйста:
1. Что это за элемент Т2 на схеме? Нигде не нашёл описания.
2. Как правильно напаивать резисторные сборки?
3. 15k (All resistors) - имеется в виду общее сопротивление ? Т.е. если 11 штук R23, то получается сопротивление одного 15k/11, так?
Или каждый по 15k?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 12 июля 23:49:58 2017 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Алексей, T2 - это 1НТ251 (транзисторная сборка из 4-х NPN транзисторов).

В сборках каждый резистор по 15k.

Сборка R2 подтягивает все 8-мь разрядов шины данных процессора к +5В через 8 резисторов по 15К:



Сборка R23 подтягивает к +5В входные разряды портов ввода-вывода:



В моем случае, в этой сборке на один резистор меньше чем на схеме, т.к. я узел чтения с магнитофона собирал нестандартным образом на макетном участке платы.

Полезный комментарий + 2 | Бесполезный комментарий - 0
четверг, 13 июля 22:08:24 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Alex Babkin
Константин, приветствую. Не клеится у меня с пентагоном :-( К сожалению, в цифровой технике я не очень... Подумываю даже заслать его в Вашу сторону...
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 15 июля 09:58:28 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,146,890

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU