CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 26 25 24 23 22 21 20 19 18 17 16 15 >>>>

Name: Mix
Не долго музыка играла. :(
http://file.sampo.ru/337zn2/

Как только начинаю грузить игру- название гразится, а затем начинает всё мигать, мерцать... и в конце загрузки или появляется надпи ь, что нет сигнала (как будто видеокабель вытащили) или черный экран, потом перекошенная картинка игры... один раз даже чтото там выбирал кнопками (джойстик итд), но особо не видно было...

Куда копать?

Useful comment + 0 | Useless comment - 0
Friday, September 24, 13:27:55 2021 | post link    
Name: Mix
На втором тв вообще не подключается серез скарт...
Useful comment + 0 | Useless comment - 0
Friday, September 24, 15:54:49 2021 | post link    
Name: Зодчий Алекс
Друзья, приветствую вас! Возможно, что кому-то будет полезен мой личный опыт по доведению до ума
ZX Spectrum 48K Ленинград-1.

1. Первое, что было сделано – это установка доп. резистора 1кОм c +5В на 6 выв. Z80.
Это повысило уровень сигнала RAS до уровня, при котором стали запускаться все Z80.
До этого запускались далеко не все!

2. К выходам RGB были подключены доп. переменные, многооборотные резисторы порядка нескольких кОм
Одни их крайние точки присоединянись к корпусу (–5В), другие крайние к выходам RGB на плате,
а с их движков сигналы подавались на разъём, к которому подключался кабель, идущий к телевизору.
Это позволило очень точно выставить соотношение цветов, для получения чисто белого цвета на экране.

3. Чёрную мерцающую полосу по всей высоте правого края рабочего поля удалось
устранить установкой доп. конденсатора 240 пФ между корпусом и выв. 10
микросхемы D31 (КП13). Сигнал BORDER.

4. Прорисовка правого края окружностей восстановилась установкой доп. RC цепочки к выв.1 D33 (ИР9).

5. При загрузке игр с кассеты очень часто происходил их сброс. На входе стояли микросхемы К140УД6
и К521СА3А. УД6 была заменена на К140УД12. После этого сбросы при загрузке игр стали весьма редки.

6. Уже запущенные игры очень часто самопроизвольно сбрасывались. Стояли две микросхемы ПЗУ 2764.
И, как выяснилось, они были схемно неправильно подключены. После несложных изменений в схеме их включения игры перестали сбрасываться!

7. С этими доработками Spectrum проработал долгие годы, выдавая отличную картинку на телевизоре Sony. Но, новый Panasonic показывать корректно никак не хотел. Строки съезжали и дёргались. Тут мне попался этот сайт с доработками. Укоротил строчный синхроимпульс и сделал привязку к уровню чёрного по приведённой здесь Константином схеме на трёх микросхемах (ЛА1, ЛИ1, ТМ2). Сделал как и он – всего на двух (ЛА4 и ТМ2). Огромное ему спасибо за такую наводку! Картинка на экране стала стабильной.
Только слегка подёргивалась надпись, появляющаяся при включении или после сброса.
Её удалось стабилизировать уменьшением сигнала SYNC ещё одним переменным резистором.

8. Микросхема D36 (КР1533КП11А) была заменена на КР1533КП16.
Это позволило корректно выводить на экран изображения с повышенной яркостью.

9. Рабочее поле на экране было намного смещено влево. Кварц 14 мГц. По приведённой здесь методе
изменил коэффициент пересчёта счётчика D4 (ИЕ7) с 2 на 4. Картинка сдвинулась вправо, но всё-равно левее центра. Лучшие результаты были получены при установке числа 5 на этом счётчике. Картинка стала слегка правее центра, но это смещение оказалось меньшим, чем смещение влево при установке числа 4.
Друзья, вот посмотрите как после всех этих доработок теперь показывает мой Spectrum:
https://youtu.be/PqQpxXFQjUg. Кого заинтересовали изменения в схеме подключения ПЗУ
спрашивайте здесь и в комментариях под видео. Всё подробно распишу. Всем удачи!

Useful comment + 1 | Useless comment - 0
Sunday, October 3, 00:35:14 2021 | post link    
Name: Demo_Resident E-mail: alternatet@yandex.ru
Вопрос по схеме SCART. У разъема, который купил я, ног всего 20... Куда паять землю? Заранее спасибо
Useful comment + 0 | Useless comment - 0
Sunday, October 17, 08:47:44 2021 | post link    
Name: lz1tka E-mail: nikolai_tkachuk@abv.bg
Есть некоторые сбои в работе после доработок.
В принципе все работает на двух линейках Ру5.
https://www.sandacite.com/forum/index.php?topic=14964.30

Useful comment + 1 | Useless comment - 0
Wednesday, October 20, 02:17:42 2021 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Demo_Resident, все земляные выводы скарта, как правило, соединены между собой со стороны телевизора, соотв. можно использовать любой из этих выводов: 4, 5, 9, 13, 14, 17, 18, 21. Для верности можно и прозвонить их, предварительно выключив телик из розетки.

lz1tka, судя по всему, на плате установлен процессор Mostek. Нужно убедиться, что установленный чип поддерживает частоту 3,5 МГц:
https://www.cpu-world.com/CPUs/Z80/MANUF-Mostek.html

Ну и причиной проблем может быть и блок питания. БП должен выдавать достаточную мощность и желательно, чтобы на всех участках платы напряжение было не ниже 5.0 вольт.

Useful comment + 0 | Useless comment - 0
Wednesday, October 20, 12:44:20 2021 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: lz1tka
Зодчий Алекс, Спасибо!
" 1. Первое, что было сделано – это установка доп. резистора 1кОм c +5В на 6 выв. Z80."

Сбой пропал, завелся даже mostek на 2,5 мггц.

Константин Айги, спасибо за ответ!

Useful comment + 0 | Useless comment - 0
Thursday, October 21, 17:30:50 2021 | post link    
Name: Eugene
Добрый день. Подскажите по схеме расширения Ленинграда-1 до 256 кБ

https://hsto.org/webt/bp/oh/wi/bpohwivj_i1rpkid_ufynzewxwm.png

По схеме не понятно как соединить выводы 10,11,12,13 у КП12 (DD6). Их надо между собой соединить и к 15DD2? или только вывод 10DD6 соединить с 15DD2?

Useful comment + 0 | Useless comment - 0
Wednesday, November 3, 11:26:42 2021 | post link    
Posts: 3
Name: Константин Айги (CXEMATEKA.RU)
Eugene, очевидно тут входы мультиплексора 10,11,12,13 соединяются между собой и заводятся на вывод 15 DD2.
Useful comment + 0 | Useless comment - 0
Wednesday, November 3, 17:39:20 2021 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Eugene
Константин, спасибо за ответ!
Плату расширения на 256 кБ развел так:

https://disk.yandex.ru/i/HxQ7uHn6eIJzVA

Там же разместил доработки Ленинграда для правильной работы схемы расширения.

Useful comment + 1 | Useless comment - 0
Thursday, November 4, 14:18:39 2021 | post link    
Posts: 3
Name: Eugene
Информация для тех кто будет делать модернизацию Ленинграда-1 по схеме расширения ОЗУ до 256кБ:
https://hsto.org/webt/bp/oh/wi/bpohwivj_i1rpkid_ufynzewxwm.png
сигнал А1 (на вход DD1.5) не требует инверсии.

Useful comment + 1 | Useless comment - 0
Sunday, November 7, 08:48:30 2021 | post link    
Posts: 3
Name: Serj
Приветствую, друзья!

Подскажите пожалуйста, в какую сторону копать. Ленинград 1 выдает такую картинку https://i116.fastpic.org/big/2021/1117/51/fbb1e39e5399aa86007d17a7d56de051.jpg

P.S. Константин, огромное спасибо за отличный сайт с такой полезной информацией!

Useful comment + 0 | Useless comment - 0
Wednesday, November 17, 22:41:00 2021 | post link    
Posts: 4
Name: Константин Айги (CXEMATEKA.RU)
Serj, похоже, что-то подмешивается к синему каналу при отображении пикселя (INK). Надо проверить, нет ли замыкания с чем-то посторонним на выходе D30-15, входе D36-3.
Useful comment + 0 | Useless comment - 0
Thursday, November 18, 07:41:02 2021 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Serj
Константин, спасибо огромное за совет! Заменил КП11 на КП16 все стало с цветом нормально. Но такое впечатление, что не прорисованы все пиксели букв. Когда курсор с заливкой все нормально, а строки выглядят так как будто вертикальные белые полосы.
Useful comment + 0 | Useless comment - 0
Saturday, November 20, 22:24:15 2021 | post link    
Posts: 4
Name: NOP
ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS)
>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>

Подскажите, логику серий 555/1533 серий можно комбинировать при сборке Ленинградов 1 и 2? Или нужно только на одной какой-либо серии?

Useful comment + 0 | Useless comment - 0
Sunday, November 21, 00:13:36 2021 | post link    
Name: Serj
Все решилось, совсем забыл про схему сопряжение со SCART. Собрал, все стало норм. А так были тонкие буквы с непрорисованными пикселями.
Useful comment + 1 | Useless comment - 0
Monday, November 22, 22:09:48 2021 | post link    
Posts: 4
Name: Константин Айги (CXEMATEKA.RU)
NOP, да, логику 555,1533 комбинировать можно. И, кстати, даже со 155-й серией. Но стоит помнить, что у серии 1533 значительно ниже энергопотребление, чем у предыдущих серий ТТЛ. Поэтому, для стабильной работы устройства со смешанной логикой, рекомендуется использовать БП помощнее. И не стоит жалеть блокировочных конденсаторов при сборке.
Useful comment + 0 | Useless comment - 0
Tuesday, November 23, 08:15:52 2021 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Serj
Доброго времени!
При подключении контроллера дисковода столкнулся с такой проблемой на экране такое изображение



Компьютер первый ленинград с апгрейдом до 128кб и ПЗУ 27с512. Прошивка с этого сайта.

Useful comment + 0 | Useless comment - 0
Saturday, December 11, 15:11:34 2021 | post link    
Posts: 4
Name: Константин Айги (CXEMATEKA.RU)
Serj, очевидно допущена ошибка при подключении контроллера. Такая картинка характерна для запуска системы без процессора. Выходит, что-то блокирует старт процессора. Надо проверить сигнал RESET, адресную шину и шину данных. Контроллер на этапе начальной наладки стоит подключать без ВГ93 и, разумеется, без собственного ПЗУ, если используется комбинированная прошивка 27C512.

Также стоит помнить, что подключение дополнительной периферии увеличивает энергопотребление, соотв. БП должен быть достаточно мощный (на 2 ампера и выше).

Useful comment + 0 | Useless comment - 0
Sunday, December 12, 15:15:06 2021 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: AXLP_RU
Здравствуйте, Константин!
С наступающим Новым Годом!
Огромное спасибо Вам за сайт и возможность узнать полезную информацию.
Вот налаживаю плату "Ленинград-48" и ни как не могу её запустить. Вроде всё норм, а не запускается.
Если Вас не затруднит, прошу помочь. Заранее СПАСИБО Вам ОГРОМНОЕ!
По этой ссылке фотографии платы, экрана и некоторые осциллограммы. После нажатия кнопки сброс, на экране появляется "матрас" двух видов (на фото оба вида). Осциллограммы названы номер микросмемы_номер ноги. +5 В, есть на всех микросхемах. ПЗУ прошивал файлом с вашего сайта (TL866II+), после прошивки verify - ok. Как думаете в чём может быть дело. Заранее спасибо.
https://disk.yandex.ru/d/HiM4sfr-JXoUkg

Useful comment + 0 | Useless comment - 0
Thursday, December 30, 14:31:22 2021 | post link    
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
AXLP_RU, похоже, всё собрано правильно. Картинка и осциллограммы соответствуют нормальной работе системы без ПЗУ. Чтобы стандартная прошивка sos48k_only.bin (16K) работала на позиции D29, нужно немного скорректировать плату, т.к. по умолчанию плата рассчитана на установку двух половинок ПЗУ по 8К каждая.

Под прошивку 16К (27128) делаются следующие доработки: вывод D29-20 отрезается от A13 и заводится на землю, на вход D29-26 подается сигнал A13.

С наступающим! )

Useful comment + 0 | Useless comment - 0
Friday, December 31, 11:32:11 2021 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: AXLP_RU
Здравствуйте, Константин! Спасибо. Буду пробовать. У меня есть две ПЗУ S27C64A, можно ли их прошить sos48k_only.bin разбив её на две части 0h0000-0h1FFF и 0h2000-0h3FFF или необходимы "танцы с бубном"? Ещё раз спасибо! и С Новым Годом!
Useful comment + 0 | Useless comment - 0
Monday, January 3, 19:10:09 2022 | post link    
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
AXLP_RU, да, можно разбить sos48k_only.bin на две половинки так:
27С64 D29 - #0000-#1FFF
27С64 D41 - #2000-#3FFF

Только не все ПЗУ подойдут. Дело в том, что в «Ленинграде» выборка ПЗУ D41 осуществляется по входу 27 (PGM), что не всеми микросхемами поддерживается. Подробнее об этом тут:
https://zx-pk.ru/threads/33199-otklyuchaemyj-po-romdis-uvprom-27128-podskazhite.html

Useful comment + 0 | Useless comment - 0
Monday, January 3, 20:18:11 2022 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: AXLP_RU
Константин, Спасибо Вам за помощь и отзывчивость!
Здоровья, Счастья и Удачи в Новом Году!
Очень понравились ваши видеоролики, особенно про Ленинград-48 и про рыбалку на Мещёре! В них есть спокойствие счастливой человеческой жизни, такой жизни которой система сейчас не даёт человеку, жизни размеренной и спокойной с уверенностью в лучшее на завтрашний день. По моему скромному мнению, такое есть только у Вас на канале, и у адвоката Егорова. Спасибо Вам за ваш труд.

Useful comment + 0 | Useless comment - 0
Monday, January 3, 21:12:25 2022 | post link    
Posts: 10
Name: AXLP_RU
Здравствуйте, Константин!
Прошил ПЗУ
27С64 D29 - #0000-#1FFF
27С64 D41 - #2000-#3FFF
микросхемы на фото:
https://disk.yandex.ru/i/A8D-wNoR6rIqUQ
Картинка поменялась, но заветной надписи пока нет
https://disk.yandex.ru/i/XpiEhsY4tECytQ
Прошу у Вас совета, если возможно?
Спасибо.

Useful comment + 0 | Useless comment - 0
Tuesday, January 4, 21:54:58 2022 | post link    
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
AXLP_RU, благодарю за отзыв и поздравление! ) И, кстати, скоро выйдет новое видео – работа идёт полным ходом!

Рекомендуется сначала запустить тестовую прошивку:
http://www.cxemateka.ru/v1/test48k.bin

Прошить её можно и в 27C64, и в 27C128. Для 27C64 нужно заполнить все 8K повторяющимся блоком 2K из файла test48k.bin. Для 27C128 заполнить все 16K аналогичным образом.

Useful comment + 0 | Useless comment - 0
Wednesday, January 5, 12:52:06 2022 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: AXLP_RU
Здравствуйте, Константин!
Спасибо, буду пробовать с тестовой прошивкой.
И буду следить за новостями на вашем видео-канале.

Useful comment + 0 | Useless comment - 0
Wednesday, January 5, 14:44:28 2022 | post link    
Posts: 10
Name: AXLP_RU
Константин, сделал как Вы посоветовали: прошил ПЗУ 27C64 тестовой прошивкой, всё оставшееся место заполнил последовательно скопированными копиями прошивки. После запуска следующая картина:
https://disk.yandex.ru/d/Anf6Mkd7dWML4A
С течением времени повышается шум (случайность) отдельных пикселей.
Не знаю куда копать, проверял уровни и формы напряжений по книге ремонт и наладка, вроде всё в пределах допустимого, но не запускается.
Книга "Ремонт и наладка Ленинград 48 к"
https://disk.yandex.ru/d/mwWXkEVQIBUl9A
Спасибо.

Useful comment + 0 | Useless comment - 0
Wednesday, January 5, 22:55:59 2022 | post link    
Posts: 10
Name: alex
AXLP_RU, это Зодчий Алекс. У вас не запускается процессор. Точно такие же картинки матраса с вертикальными полосами я уже наблюдал на своём "Ленинград-48". Попробуйте установить доп. резистор 1кОм c +5В на 6 выв. Z80. Это повысит уровень сигнала RAS до уровня, при котором запустятся все Z80. Без этого резистора запускались далеко не все!
Useful comment + 1 | Useless comment - 0
Thursday, January 6, 11:22:41 2022 | post link    
Posts: 4
Name: Константин Айги (CXEMATEKA.RU)
Да, дело очевидно в процессоре. Только сейчас обратил внимание, что на плате установлен процессор с индексом C (Z84C0006PEC) - это КМОП, а нужен ТТЛ.
Useful comment + 0 | Useless comment - 0
Thursday, January 6, 12:50:14 2022 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: AXLP_RU
Здравствуйте, Зодчий Алекс! Спасибо, попробовал 0.7 кОм, 1.5 кОм и 3 кОм. Уровень тактирующего импульса CLK подтягивается к 5 В, но картинка прежняя. Ставил разные процы, и заведомо исправные, и память менял с 555ру5 на КМ4164В, тоже не помогло. Вроде все сигналы есть, но не хватает знаний и опыта для поиска и устранения неисправности.
Спасибо.

Useful comment + 0 | Useless comment - 0
Thursday, January 6, 13:15:25 2022 | post link    
Posts: 10
Name: AXLP_RU
Константин, здравствуйте! У меня есть процессоры:
Z84C0006PEC -- Manufacturing process CMOS
Z0840006PSC -- Manufacturing process NMOS,
так понимаю, что оба не подходят?
Подскажите пожалуйста какой проц. подойдёт, по какой технологии изготовленный? Насколько понимаю КМОП (комплиментарная металл-оксид полупроводник) -- это технология производства полупроводниковых интегральных схем. А ТТЛ это тип логики (транзисторно-транзисторная логика), получается, что КМОП и ТТЛ это не сравнимые вещи, в первом случае (КМОП) технология производства, а во втором тип построения интегральных логических элементов.
Нашёл классический проц. Z80, на сайте ZILOG (https://www.cpu-world.com/CPUs/Z80/Zilog-Z80%20CPU.html), такой точно должен подойти он произведён по технологии (MOS LSI - металл-оксид полупроводник высокой степени интеграции), но такие процы сейчас не найти.
Спасибо.

Useful comment + 0 | Useless comment - 0
Thursday, January 6, 13:41:35 2022 | post link    
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Z0840006PSC – такой процессор подойдёт.

Речь в данном случае не о технологии производства, а о поддерживаемых микросхемой логических уровнях. У КМОП и ТЛЛ эти показатели разные:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p335

Прошивку с тестом нужно ставить на позицию D29. Если всё не совсем плохо, то как минимум будет смена цветов бордюра на старте. Как этот тест работает видно тут:
https://youtu.be/8rWCYo1UcOQ?t=460

Если этого не происходит, то нужно проверять шину данных и адресную шину процессора, не лишним будет проверить наличие корректного сигнала INT (D20-16). Также нужно проверить (прозвоном) все ли сигналы проходят от процессора до D29, проверить правильно ли формируется сигнал выборки ПЗУ RDROM (D12-3).

Useful comment + 0 | Useless comment - 0
Thursday, January 6, 14:52:07 2022 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: AXLP_RU
Константин, спасибо Вам!
С процем Z0840006PSC, тоже не запустился, буду ещё раз позванивать и проверять все уровни напряжений.
Спасибо Вам за помощь!

Useful comment + 0 | Useless comment - 0
Thursday, January 6, 18:00:30 2022 | post link    
Posts: 10
Name: alex
AXLP_RU. В вашем случае не в процессоре дело. Долгие годы в моих "Ленинград-48" исправно работали Z0840004PSC. Вчера прислали Z84C0004PSC. Тут же его и поставил. Всё отлично работает. При этом ток с 0,66 А понизился до 0,54 А. То есть на 120 мА меньше и не греется, чему я очень рад.
Когда я собирал свои ZX, то первым делом проверял плату на соответствие её схеме и отсутствие на ней обрывов. Выявил целую кучу несоответствий, с которыми ZX никогда бы не удалось запустить!
Советую и вам начать с того же. Для наглядности на листе бумаги в клетку нарисовал схематично каждую из микросхем одну под другой. Например: Z80 2 клетки в ширину и 20 в высоту, ЛА3 – 2 и 7 кл. и т.д.. Влево и вправо от нумерованных выводов писал с какими выводами, каких микросхем они должны быть соединены. И прозвонил каждый выв. каждой микросхемы, а затем ещё и между собой соседние выв. микросхем согласно схеме, чтобы и между ними не было незапланированных соединений.

Useful comment + 0 | Useless comment - 0
Thursday, January 6, 20:14:27 2022 | post link    
Posts: 4
Name: Сергей E-mail: cncservo@yandex.ru
Константин, Спасибо за интересные видео на ютубе. В этом году планируете ролик какой ни будь по теме zx spectrum ?
Useful comment + 0 | Useless comment - 0
Friday, January 7, 22:12:12 2022 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Сергей, да, видео будет. И по теме Спектрума в том числе )
Useful comment + 0 | Useless comment - 0
Sunday, January 9, 17:20:17 2022 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Mef78
Константин, приветствую.
Подскажите пожалуйста, что может быть.
Собрал клон ленинграда на плате 2017 года.
https://disk.yandex.ru/i/r2owdFsrbDljFw
С доработками, укоротил синхроимпульс, сделал привязку к уровню черного, ещё что-то...
Матрас есть.
https://disk.yandex.ru/i/rndoRQmeQrS5Ug
Дальше этого не идет.
Память с алиэкспресса 4256-10, похоже частично битая, не со всеми микросхемами стабильный матрас (выбрал те с которыми матрас стабилен), плюс у себя нашел несколько ру7. 1е выводы озу на земле.
С тестовой пзу для 48к выводит только часть символов, все на картинке
https://disk.yandex.ru/i/oHbyjSlnsUg-LA
https://disk.yandex.ru/i/1jaYPXJGlzEL6Q
https://disk.yandex.ru/i/UXCsMCP3bXHC3g
Так же иногда угадывается надпись неисправность буфера .
Бордюр всегда черный.
Куда копать ? Кроме того что менять память...

Useful comment + 1 | Useless comment - 0
Thursday, January 20, 11:25:27 2022 | post link    
Posts: 3
Name: Константин Айги (CXEMATEKA.RU)
Mef78, сначала рекомендуется убедиться, что процессор установлен подходящий (не КМОП). Также важно, чтобы тактовая частота на 6-й ноге процессора была стабильна. Далее надо проверить правильность формирования сигнала записи в порт /IOWR (D14D), регистр D39 (ТМ9). И не очень хорошо, что D2 и D9 серии 531, правильнее будет тут использовать серию 1533 (74ALS).
Useful comment + 0 | Useless comment - 0
Thursday, January 20, 12:41:17 2022 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Mef78
Процессоров у меня 2. Не кмоп процессор дальше матраса не ушел, не стартует с ним система. Кмоповский с буквой С хотя бы пытается стартовать.
Тактовая стабильна. Смотрел осциллографом с частотомером. /RAS подтянут к +5 через сопротивление 1ком.
Остальное попробую проверить.

Useful comment + 0 | Useless comment - 0
Thursday, January 20, 18:11:30 2022 | post link    
Posts: 3

<<<< 26 25 24 23 22 21 20 19 18 17 16 15 >>>>

Download all comments!

Hits: 1,157,372

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU