CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 21 20 19 18 17 16 15 14 13 12 11 10 >>>>

Name: Ivan Gagis
Почти исправил видеовыход. Проблема была в том, что на 16 ногу СКАРТа я выводил SYNC, а надо было просто подать 5 вольт через резистор 150 ом, чтобы сигнал был в диапазоне 1-3 вольта.
Теперь всё работает на телефизоре. Но на конвертере SCART->HDMI почему-то слева есть черная полоса, как будто изображение чуть сжато по горизонтали и придвинуто к левому краю экрана.
Как будто этот конвертер думает, что строка начинается раньше чем надо, поэтому она длиннее, а начало её заполнено черным цветом (наверное в RGB сигнале там просто черный).
Может тут проблема в длительности строчного синхроимпульса, может его ещё короче надо сделать как-то?

Useful comment + 0 | Useless comment - 0
Thursday, May 14, 15:56:58 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
Да, картинака теперь как на видео из моего поста выше, но она не прыгает теперь, а просто стабильно черная полоса слева.
Useful comment + 0 | Useless comment - 0
Thursday, May 14, 16:00:04 2020 | post link    
Ivan Gagis
Posts: 49
Name: Денис
Ivan Gagis
"Судя по симптомам, какая-то проблема со строчной синхронизацией, привязка к началу строки как-то плохо работает или что-то в этом роде."

как в инструкции по второй схеме доработки мне помог кондер на 0.01мкФ вроде:
"В моём случае глюк излечился задержкой сигнала, подаваемого на вход 4DD'.1 (ТМ2), при помощи конденсатора"

а кадровая все еще не ствбильна

Useful comment + 0 | Useless comment - 0
Thursday, May 14, 16:39:30 2020 | post link    
Денис
Posts: 10
Name: Ivan Gagis
Эээ нет, это другая черная полоса. Ту черную полосу я тоже кондером устранил. А это уже другая, она не поверх картинки, а она слева от картинки, как я уже описывал. И она есть только на конвертере, на телеке все ок.
Useful comment + 0 | Useless comment - 0
Thursday, May 14, 18:38:50 2020 | post link    
Ivan Gagis
Posts: 49
Name: Александр
Ivan Gagis, вполне возможно, что чёрная полоса - это последствия конвертации в HDMI. Попробуйте в настройках конвертера поменять разрешение экрана и режим выхода PAL/NTSC.
Useful comment + 0 | Useless comment - 0
Thursday, May 14, 20:38:18 2020 | post link    
Александр
Posts: 121
Name: Александр
Можно также попробовать принудительно ввести чёрный сигнал на выходы D36, когда они находятся в неактивном состоянии - для этого нужно каждый выход D36(КП11) подключить к массе через резисторы 1кОм.
Useful comment + 0 | Useless comment - 0
Thursday, May 14, 20:44:58 2020 | post link    
Александр
Posts: 121
Name: Ivan Gagis
Александр
Я уже все возможные настройки конвертера перепробовал, ничего не помогает.

Какие именно выводы D36 притянуть к земле? 4, 7, 9, 12?

А у вас Ленинград с этим конвертером нормально работает? Используется ли у вас 8 вывод СКАРТа и как?

Useful comment + 0 | Useless comment - 0
Friday, May 15, 00:21:04 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
Кстати, я правильно понимаю, что в доработке "по второй схеме" уже исправлена привязка к уровню черного?
Useful comment + 0 | Useless comment - 0
Sunday, May 17, 04:56:04 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
Кажысь я начинаю что-то понимать.

Согласно википедии:
https://ru.wikipedia.org/wiki/%D0%A1%D1%82%D1%80%D0%BE%D1%87%D0%BD%D1%8B%D0%B9_%D0%B3%D0%B0%D1%81%D1%8F%D1%89%D0%B8%D0%B9_%D0%B8%D0%BC%D0%BF%D1%83%D0%BB%D1%8C%D1%81

длительность гасящего импульса должна быть 12 мкс. Насинается он за 2 мкс до синхроимпульса, а заканчивается через 10 мкс после переднего фронта синхроимпульса.

На моём Ленине же картина такая:
screenshot-192-168-1-17-2020-05-17-05-28-06

видно, что гасящий заканчивается примерно через 11.5 мкс после переднего фронта синхроимпульса. Т.е. на 1.5 мкс длиннее чем надо.

Длительность строки по стандарту 64 мкс., от синхроимпульса до синхроимпульса. И на Ленине эта длительность выдержана правильно.

Получается, длительность "не гашёной" части строки по стандарту 64-12=52мкс.
На моём же Ленине она 64-(12 + 1.5)=50.5мкс.

Посчитаем отношение 50.5/52=0.97.

Я измерил линейкой ширину экрана (29.5см) и ширину изображения без правой черной полосы (28.5см). Если посчитать отношение этих длин, то получаем 28.5/29.5=0.966, примерно те же 0.97.

В общем я думаю китайский SCART->HDMI конвертер тупо считает 10мкс от переднего фронта синхроимпульса и начинает оттуда считывать строку, а на Ленине там ещё черный 1.5мкс идёт.

Телек видимо умнее и ждет конца гасящего импульса.

Теперь вопрос! Как мне укоротить гасящий синхроумпульс на 1.5мкс?

Useful comment + 0 | Useless comment - 0
Sunday, May 17, 05:50:05 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
Правка: "... ширину изображения без ЛЕВОЙ черной полосы..."

И да, надо не только укоротить гасящий импульс, но и "растянуть цветовую информацию на 1.5мкс. Вот уж вопрос так вопрос... возможно ли это вообще сделать.

Useful comment + 0 | Useless comment - 0
Sunday, May 17, 05:55:31 2020 | post link    
Ivan Gagis
Posts: 49
Name: Vadim
Ivan Gagis
Я делал такую доработку:
image

Useful comment + 0 | Useless comment - 0
Sunday, May 17, 15:01:01 2020 | post link    
Posts: 51
Name: Ivan Gagis
Vadim
Можно ли поподробнее про эту доработку? Что конкретно она исправляет и как она соотносится с доработкой "по второй схеме"?

Useful comment + 0 | Useless comment - 0
Sunday, May 17, 22:54:42 2020 | post link    
Ivan Gagis
Posts: 49
Name: Vadim
Эта схема альтернатива другим графическим доработкам.
2-я схема с zxbyte у меня давала картинку с искажением даже когда удалось добиться её стабильности.
Схема sblive не понравилась, так как напрочь срезала бордюры, возможно даже, с частью рабочей области.
Использование дешифратора позволяет добиться длительности и взаимного "временного расположения" синхросигналов как на оригинальном ZX-Spectrum.
Сигнал SYNC:
SYNC-2
Длительность синхроимпульса 4,6 мкс.
SYNC-3
Нижняя часть схемы это исправление INT, его можно не делать, оставив вариант ленинграда (когда INT отстаёт от INT оригинального ZX-Spectrum приблизително на 260 мкс). Либо просто выпаять ногу конденсатора С1, идущую к сигналу BK (8 вывод DD8) и кинуть провод от 15 вывода дешифратора (тогда INT будет опережать оригинальный INT ZX-Spectrum на 32 такта ~ 4,6 мкс).

Useful comment + 0 | Useless comment - 0
Monday, May 18, 03:45:37 2020 | post link    
Posts: 51
Name: Ivan Gagis
Vadim
Спасибо за разъяснения. Синхроимпульс у меня укоротился до 4.6мкс с помощью 2-ой схемы с zxbyte, тут все ок. Проблема в слишком длинном гасящем импульсе, как я думаю.

Useful comment + 0 | Useless comment - 0
Monday, May 18, 13:36:05 2020 | post link    
Ivan Gagis
Posts: 49
Name: Vadim
В доработке не только укорачивается синхросигнал, но и смещаются SS относительно BS и KS относительно BK, что и будет влиять на длительность гашения. Другими словами, мы смещаем область видеосигнала относительно синхры.
Useful comment + 0 | Useless comment - 0
Monday, May 18, 16:05:02 2020 | post link    
Posts: 51
Name: Ivan Gagis
Vadim
То есть ИД7 относится к доработке INT?
А для видеосигнала надо только задействовать DD34.5 и добавить конденсатор 47нФ?

Useful comment + 0 | Useless comment - 0
Monday, May 18, 19:01:00 2020 | post link    
Ivan Gagis
Posts: 49
Name: Vadim
Ivan Gagis
Нет. Доработка INT это подключение цепи C2, D1, R3, DD1 к выходу дешифратора.
Доработка видео - дешифратор, один из неиспользуемых инверторов (D1 или D34 как на схеме) и конденсатор (выделены красным).

Useful comment + 0 | Useless comment - 0
Monday, May 18, 20:24:41 2020 | post link    
Posts: 51
Name: Ivan Gagis
Vadim
Спасибо, попробую. Вот заказал ИД7, будут долго идти. А пока, было бы интересно посмотреть вашу осциллограмму с выхода VIDEO, а именно строчный гасящий импульс, как на осциллограмме из моего поста выше, если можно.

Useful comment + 0 | Useless comment - 0
Monday, May 18, 20:50:34 2020 | post link    
Ivan Gagis
Posts: 49
Name: Vadim
Ivan Gagis
Оригинальный ZX-Spectrum
zx-spectrum


Доработка. Снимал на тестовой ПЗУ (сейчас нет в наличии ПЗУ с операционной системой), поэтому возможно "выхватил" не самые удачные.

Фронтальный гасящий
front-porch
2,3 мкс * 7 МГц = 16 тактов


Синхроимпульс
sync
4,6 мкс * 7 МГц = 32 такта


Гасящий после синхроимпульса
back-porch
8 мкс * 7 МГц = 56 тактов на 8 длиннее (немного неудачно пойманная строка, этап теста с чёрно-белыми полосами на бордюре)


Видеосигнал
video-5-div1
36,6 мкс * 7 МГц = 256 тактов (этап тестирования ПЗУ текст на рабочем поле, бордюры чёрные)

Useful comment + 0 | Useless comment - 0
Tuesday, May 19, 16:58:30 2020 | post link    
Posts: 51
Name: Ivan Gagis
Vadim
Спасибо за подробные осциллограммы!
На сколько я вижу, у вас общая длительность импульса гашения 2.2 + 4.6 + 8 = 14.8 мкс.
У меня же сейчас она 13.5 мкс.
А надо мне ещё сильнее укоротить, до 12 мкс.

Так что не думаю, что ваша доработка поможет, но я попробую.

Useful comment + 0 | Useless comment - 0
Wednesday, May 20, 02:30:28 2020 | post link    
Ivan Gagis
Posts: 49
Name: Hail
Перестал работать ввод программ. После LOAD"" - белый экран. SAVE работает. При выводе - полоски на бордюре как положено. А вот LOAD перестал работать. Заменил микросхему с ROM 16к - все то же самое. Проблема, получается, в железе. Может кто помочь, в чем проблема ?
Useful comment + 0 | Useless comment - 0
Friday, May 22, 23:34:40 2020 | post link    
Posts: 1
Name: Денис
Hail
и randomize usr 1333 тоже не дает на бордюр полос?

Useful comment + 0 | Useless comment - 0
Saturday, May 23, 20:57:17 2020 | post link    
Денис
Posts: 10
Name: Pegas
Доброго всем времени суток!
Прошу помощи!
Собрал на досуге себе пентагон-128 на плате от micklab 2015 года, многократно все проверил, но запустить без наладки пока не удалось. На экране черная рамка, белый квадрат (как будто нет памяти), должна быть шахматка
На установку проца и пзу никак не реагирует, на кнопку резет тоже
Подключаю через rgbi-vga адаптер (исправен, проверен на стареньком ленинграде)
Осциллографом смотрел - генератор работает
Питание с лабораторника, выставил так, чтобы на всех микросхемах было ровно 5в, потребление около 0,9а без проца. На тепловизоре подозрительно греющихся элементов не заметил
Я не сильно в спектруме пока, только начал (в детстве был такой, решил понастольгировать). Читал в брошюре по наладке - белый экран - неправильные сигналы ras или cas, смотрел их осциллографом - ничего криминального не заметил, визуально похожи на те же на рабочем ленинграде. Подозреваю что какая-то из микросхем с браком (микросхемы все были новыми, серии кр1533 и несколько аналогов als). Прошу ткнуть направление поиска

Useful comment + 0 | Useless comment - 0
Sunday, May 24, 14:53:45 2020 | post link    
Posts: 1
Name: Дмитрий 43 E-mail: darkkvager@yandex.ru
Подскажите пожалуйста, зачем нужна доработка сигнала INT и как ее правильно сделать. У меня на плате от С2 провод идет на 12 и 8 ногу D8 . Также сделав доработку прорисовки окружностей, ставлю конденсатор 1000пф к D10-4 и на землю доработка перестает действовать. Еще если бордюр сделать черным, пиксели начинают немного дергаться.
Useful comment + 0 | Useless comment - 0
Monday, May 25, 21:33:24 2020 | post link    
Name: Ivan Gagis
Дмитрий
На сколько я понимаю, сигнал INT - это немаскируемое прерывание, которое происходит в начале кадра видеосигнала, т.е. 50 раз в секунду. На Ленинграде оно вроде запаздывает на 230 мкс. от реального кадрового синхроимпулься (или опережает???). Доработка это дело исправляет в какой-то степени. Это может быть критично в каких-то хитрых демосценах.
Больше деталей не знаю.

Useful comment + 0 | Useless comment - 0
Tuesday, May 26, 20:28:57 2020 | post link    
Ivan Gagis
Posts: 49
Name: Александр
Доброго дня, Константин и Коллеги !

Решил возродить свой ZX - leningrad 48k (был в рабочем состоянии, но требовалась замена убитой кроватки - ПЗУ постоянно глючило)

После замены кроватка получил 1 проблему. Не запуск с ПЗУ и соответственно не правильный матрас:
https://yadi.sk/i/TNkMJPqYLuZt0g

По совету проверил ЛП5, ИР16, КП11 - пропаял, от первой проблемы избавился матрас в норме:

https://yadi.sk/i/xu4R3JC2HVai9g

Но старта нет. Дорожки, контакты где паял проверил уже несколько раз. Новую Кроватку 2 раза уже перепаял. Имею картинку, иногда бегут полосы по бордюру.

https://yadi.sk/i/3iASJHaAYEjuLQ

А так же при включении или сбросе щелчек в динамике. При напряжении 5 вольт, потребеление 1 ампер. Сопротивление между + и землей 187 ом. Также при снятии кроватки возможно была убрана перемычка. Т.к. стоит ПЗУ 27128 - 1990 с турбо загрузкой. Пробовал 2 варианта (какой верный подскажите) :
1 - 20 ножка на 22 замыкается - на сайте SBLive Narod:

http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/2764to27128.gif

2 - Так как на видео у Константина и совету на этом сайте, 20 ногу ПЗУ на землю (14 пин).

Заказал ТЕСТ ПЗУ - пришла 2716, соответственно отогнул и замкнул 21 на 24 и на +5. Либо ПЗУ мертвая .. Вообщем с ней простой рабочий матрас. Тест не идет.
Коллеги и Константин подскажите в какую сторону капнуть ? Заранее спасибо.

Useful comment + 0 | Useless comment - 0
Sunday, May 31, 09:28:55 2020 | post link    
Александр
Posts: 11
Name: Александр
На всякий случай фото платы. Работала, конструктивных изменений не вносил:

https://yadi.sk/i/b9sE8Vp3mlzMQw
https://yadi.sk/i/b6b1fO_2P1e5Zg
https://yadi.sk/i/RlHrfyarZFRJCw

Useful comment + 0 | Useless comment - 0
Sunday, May 31, 09:50:26 2020 | post link    
Александр
Posts: 11
Name: Vadim
Александр
Уберите одну из перемычек на ПЗУ либо 20 - 22, либо GND - 20.

При установке 2716 или КС573РФ2 устанавливаем со смещением 3 нога кроватки - 1 нога микросхемы. Отгибаем 21 и 24 ноги и подаём +5В.

Useful comment + 0 | Useless comment - 0
Sunday, May 31, 13:15:14 2020 | post link    
Posts: 51
Name: Александр
Vadim,
все верно, так и делал. Просто не написал, что со смещением вниз делал. Перемычку с 20 ножки убирал. Результат один и тот же - правильный матрас. Или ПЗУ дохлая (обманули может)... или еще что... Спасибо, за совет !

Useful comment + 0 | Useless comment - 0
Sunday, May 31, 17:40:50 2020 | post link    
Александр
Posts: 11
Name: Vadim
Прозвоните мультиметром все выводы кроватки с соответствующими выводами процессора и схемы. Возможно, есть непропай.
Useful comment + 0 | Useless comment - 0
Sunday, May 31, 19:07:35 2020 | post link    
Posts: 51
Name: Александр
Да два дня с тестером и все дрожки, и обратную сторону с верхней, и на замыкание со соседними дрожками. И пропаял пол платы с левой стороны. ПО ка не трогал правую - где РУ5, D15, D16, D32 и остальные ниже и правее. Осциллографа правда нет.
Хочу спаять для ПК (через зв.карту и софт). Есть ли смысл? Правда им никогда не пользовался.

Useful comment + 0 | Useless comment - 0
Sunday, May 31, 20:45:18 2020 | post link    
Александр
Posts: 11
Name: Vadim
Сопротивление между + и землей 187 Ом. Это при каком условии измерения? С ПЗУ, без, оба в обоих случаях?
На ощупь есть горячие микросхемы?
Перемычки под ПЗУ и D14, D2 (проволоки без изоляции) не замыкают на дорожки или выводы микросхем под ними?
Осциллограф на звуковой для низких частот видеосигнала может и подойдёт, но изображение есть.

Useful comment + 0 | Useless comment - 0
Sunday, May 31, 21:52:22 2020 | post link    
Posts: 51
Name: Александр
Сопротивление между + и землей 287 Ом.(187 ом опечатался). В обоих случаях. Горячий только процессор. Но говорят SHARP сильно греется. Теплые в основном. Перемычки сразу проверил. И палату постоянно верчу, тоже проверяю. Без замыканий. Осциллограф вроде как заработал. Интересно конечно. Попробовал по книжке (Выложена Константином Айги на сайте "leningrad-1_naladka_i_remont.pdf") проверить некоторые МС. Вроде получается. Но не все... Что конкретно глянуть в данной ситуации не знаю. ЦП проверил совпадает. Даже периоды выходят. ИР22 D32 - что то не понятное. D6 периоды немного не совпадают - критично не критично ?
Useful comment + 0 | Useless comment - 0
Sunday, May 31, 22:17:31 2020 | post link    
Александр
Posts: 11
Name: Vadim
Проверяйте наличие сигналов связанных с ПЗУ. Например, чтение из ПЗУ ~RDROM.
Useful comment + 0 | Useless comment - 0
Monday, June 1, 00:11:56 2020 | post link    
Posts: 51
Name: Александр
Vadim, Спасибо огромное за помощь !
Проверками дошел и нашел. Очень мелкий КЗ. Ноги процессора 8 и 9. И соответственно на ПЗУ 15 и 17. Хотя ПЗУ не однократно прозванивал на КЗ, но только соседствующие ноги. Все ожил. Буду дальше шаманить. Первый шаг - добавить Кодер RGB/PAL. Затем Звук - ЧИП Ямаху ym2149f (подскажите схему сборки и подключения). И увеличение до 128 кб. Всем творческих успехов !

Useful comment + 0 | Useless comment - 0
Tuesday, June 2, 11:53:10 2020 | post link    
Александр
Posts: 11
Name: Денис
Поясните, как можно спалить процессор?
Для своего клона спаял коннектор для видеовыхода. Делал напрямую в скарт.
При проверке показал кубики, но уже цветные. Без ПЗУ нет матраса. Тоже самое и без процессора. Вернул взад переделки для бетадиска. Также лапша. Заменил проц и все заработало, подключил bdi с востановлеными переделками. Перенес простой унч на одном транзисторе в корпус. Прозвонил. Включаю... Опять артефакты. Чертовщина

Useful comment + 0 | Useless comment - 0
Tuesday, June 2, 19:19:37 2020 | post link    
Денис
Posts: 10
Name: Ivan Gagis
Денис
Возможно просто где-то плохой контакт, может кровать какая разболталась. У меня артефакты на экране были когда разъем клавиатуры дребзжал.

Useful comment + 0 | Useless comment - 0
Tuesday, June 2, 20:29:14 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
Небольшой отчет по моей проблеме.
Применил схему привязки к уровню черного компьютера COMPOSIT из вот этого поста и черная полоса слева пропала, теперь всё ОК.

Useful comment + 0 | Useless comment - 0
Friday, June 5, 03:17:15 2020 | post link    
Ivan Gagis
Posts: 49
Name: Алексей E-mail: alternatet@yandex.ru
А дайте, плиз, схему как это все подключить к SVGA? Конкретно "Ленинград-1". А то телевизор домой тащить как-то...
Useful comment + 0 | Useless comment - 0
Tuesday, June 16, 14:52:13 2020 | post link    
Name: Ivan Gagis
<Алексей>
К SVGA без специального девайса-конвертера не подключить. Спектрум "Ленинград" выдает сигнал в формате RGB. SCART интерфейс включает в себя в том числе и поддержку RGB сигнала. Так что сейчас все подключают через скарт (гуглите подключение спектрум клонов через SCART).
На алиэкспресс можно найти девайсы для преобразования SCART/RGB в VGA.

Useful comment + 0 | Useless comment - 0
Friday, June 19, 14:35:01 2020 | post link    
Ivan Gagis
Posts: 49

<<<< 21 20 19 18 17 16 15 14 13 12 11 10 >>>>

Download all comments!

Hits: 1,146,799

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU