CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 19 18 17 16 15 14 13 12 11 10 9 8 >>>>

Name: Александр
Вот такое безобразие он мне показывает теперь при подключенном контроллере мышки:image
Помогите мне это исправить, пожалуйста!

Useful comment + 0 | Useless comment - 0
Monday, March 2, 20:24:50 2020 | post link    
Александр
Posts: 121
Name: Михаил
Константин, приветствую! Может Вы сталкивались с подобной проблемой, или есть какие-то идеи... не знаю даже на что подумать и где теперь искать...(

У меня при выводе на СКАРТ имеется рябь или помехи
https://www.cxemateka.ru/img/rex/5e6238fd.jpg?1583495421

Интерсно, что в некоторых комбинациях бордюра и цвета (например, зеленый цвет на синем фоне или зеленый цвет на белом фоне) картинка идеальная
https://www.cxemateka.ru/img/rex/5e623962.jpg?1583495522

Отмечу, что инверсия ведет себя соответственно.

Заменил все транзисторы КТ315 на выходе RGB импортными аналогами, был пробит один на красный цвет и не было красного и соответственно других цветов, создаваемых при смеси красного... Может быть, в моем случае имеется какое-то несовершенство кодера, или такая помеха нивелируется ЭЛТ-теликом? У меня Магик-05, упрощенная версия Кворума. На Кворуме писали кодер-секам, на Магике - нет такой надписи, хотя цветная картинка присутствует.

Вот фото узла кодера
https://www.cxemateka.ru/img/rex/5e623d02.jpg?1583496450

Не знаю видны ли фото, если что, они на моем профиле. Заранее благодрен.

п.с. использовал адаптер скарт-hdmi, еще пробовал адаптер GBS-8200 думая, что скарт-hdmi как-то влияет, но результат очень похожий в обоих случаях.

Useful comment + 0 | Useless comment - 0
Friday, March 6, 15:24:19 2020 | post link    
Михаил
Posts: 2
Name: Александр
Доброго времени суток. У меня всё получилось! Мышка от ЕС-ки заработала, как надо. Проблема была в плохом контакте разъёма контроллера - он у меня сделан на цанговых дорожках и ножки слишком маленькие были - пришлось каждую нагреть и пододвинуть к краю платы, чтобы они полностью входили в гнездо. Также убрал схему подключения по NEMO-BUS - вместо неё сейчас использую старую схему из Спектрофона-20, без блокировки IORQ. Дополнительно также заменил процессор на оригинальный Zilog, а то мой КР1858ВМ1 в последнее время стал барахлить и ни с того, ни с сего выкидывает в 48к Бейсик. С оригинальным процем пока что косяков не замечено;) В дальнейшем планирую поставить переключатель дешифрации расширенной памяти 256к с D4 1FFd(Скорпионовский вариант) на D6 7FFd(Пентагоновский вариант) и обратно - там всего 2 провода;)
И, наконец, пора уже для моего спека соорудить корпус))

Useful comment + 0 | Useless comment - 0
Wednesday, March 11, 00:32:45 2020 | post link    
Александр
Posts: 121
Name: Константин Айги (CXEMATEKA.RU)
Андрей Семенов, удачи в сборке! Если есть сомнения в правильности разведения платы, то следует все спорные узлы прозвонить и сверить со схемой.

Ivan Gagis, что старые, что новые ТВ, нормально воспринимают сигнал с одинаковыми полукадрами. Проблема тут в длине синхроимпульса. У «Ленинграда» он слишком длинный. Надо исправлять, например, так:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p560

Михаил, Секам-кодер нужен для создания композитного ТВ сигнала, а при подключении через СКАРТ сигналы RGB должны идти напрямую без всякой кодировки (ну, только обычно усилители ставятся на транзисторах). Судя по помехам на фото, фонит что-то. Можно попробовать использовать хорошо экранированный кабель. А источником помех может быть что угодно, от блока питания, до, например, работающего неподалеку кондиционера и т.п. )

Александр, когда много периферии на шине данных, некоторые процессоры просто не держат нагрузку. Соотв. тут , да, помогает либо замена процессора, либо установка буфера на шину данных, а иногда и на адресную шину, но это уже крайний случай )

Useful comment + 0 | Useless comment - 0
Wednesday, March 11, 06:53:45 2020 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
Доброго времени суток. Хочу представтить вам мою новую разработку - звуковая карта ELECTRO-SOUND. Представляет из себя микшер из чипа AY(YM), чипа ВВ55 по схеме Профи(STEREO COVOX) и звукового канала биппера, который берётся с платы Спектрума, плюс регулируемый предусилитель на двух транзисторах. Вот схемы версии 1.0 и 1.4, сделанные в Sprint Layout:
V1-0
V1-4
Изначально я спроектировал схему на основе старой схемы моего звукового чипа. Схема 1.0 была сделана для двухсторонней платы, по упрощённой дешифрации на ЛА3 и ЛИ1. Для ковокса схему брал отсюда: http://zxpress.ru/article.php?id=9750

Версия 1.4 - это четыре доработки схемы 1.0:

Во-первых, никакой упрощённой дешифрации с ЛА3 и ЛИ1. Теперь сигналы BC1 и BDIR для чипа AY будут браться непосредственно с компа, с выхода дешифратора портов #FD.

Во-вторых, исправлена ошибка в усилителе - добавлены резисторы между базами транзисторов и питанием +5в.

В-третьих, выходной разъём сделан на цанговой дорожке - это нужно для того, чтобы обеспечить вывод звука не только через гнездо 3.5, но и через разъём SCART, к примеру;)

В четвёртых, никакой двухсторонки! Вся схема 1.4 спроектирована исключительно на одностороннюю плату, что позволяет её вытравить обычным ЛУТ-методом и не возиться с активатором, термообработкой и гальванической ванной.

Также дополнительно, на чип ВВ55 не подаётся сигнал RD. В теории это значит то, что он будет работать только на выход звука через ковокс. Но это не точно;)

Useful comment + 3 | Useless comment - 0
Monday, March 16, 21:44:57 2020 | post link    
Александр
Posts: 121
Name: Damask
Привет, всем!
Нужна ваша помощь!
Непонятно по какой причине начал глючить спектрум(Orizon-Micro). Ранее была подключена звуковая плата которая не работала. Скорее всего по причине неправильной логики. Были использованы HD74HC00 и HD74HC02 вместо К555ЛЕ1 и К555ЛА3. Я нашел нужные микросхемы и заменил на необходимые, но не успел проверить т.к. ПК начал глючить. В итоге плата была отключена, но это не помогло. Замена процессора так же не помогла.

Видео как глючит
https://youtu.be/8jE-eP3sjnM

Useful comment + 0 | Useless comment - 0
Saturday, March 21, 18:56:17 2020 | post link    
Posts: 4
Name: Александр
Доброго времени суток. Нашёл схему буферизатора шины данных: https://zxpress.ru/article.php?id=13553 (2 схема)
Думаю сделать её на одной плате с процессором Z80, чтобы вместе с ним подключать к основной плате через DIP-панель.

Useful comment + 0 | Useless comment - 0
Saturday, March 21, 22:23:09 2020 | post link    
Александр
Posts: 121
Name: АЛЕКСЕЙ E-mail: TERROR-FIRE@yandex.ru
Требуется помощь в реанимации платы Ленинград 48.
TERROR-FIRE@yandex.ru

Useful comment + 0 | Useless comment - 0
Sunday, March 22, 21:32:34 2020 | post link    
Name: Ivan Gagis
Сегодня прислали китайский адаптер SCART->HDMI. Попробовал через него подключить Ленина к монитору.
Как ни странно, картинка стабильная, не прыгает как на телевизоре, но есть ряд других проблем:

1) картинка чернобелая
2) плохая четкость
3) звук только из правого канала, хотя в SCART-кабеле левый и правый входы у меня закорочены
4) звук какой-то писклявый, мало низов

Кто-нибудь пользовался такими адаптерами? Поделитесь своим опытом.

Useful comment + 0 | Useless comment - 0
Wednesday, March 25, 15:08:04 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
Разобрал этот конвертер, а там RGB со скарта не разведено вообще, поддерживается только композит, теперь всё ясно.
Useful comment + 0 | Useless comment - 0
Thursday, March 26, 01:04:22 2020 | post link    
Ivan Gagis
Posts: 49
Name: Александр
Если нужен конвертер SCART-HDMI, то лучше брать такой: https://aliexpress.ru/item/32858555968.html?spm=a2g0s.9042311.0.0.57f933ednLCi04 Дорого, но зато надёжно;) Сам брал для PlayStation-2. Картинка идеальная, RGB видит полностью))
Useful comment + 1 | Useless comment - 0
Friday, March 27, 22:54:11 2020 | post link    
Александр
Posts: 121
Name: Ivan Gagis
Александр
Ага, как раз заказал такой, жду.

Ещё есть такой вопрос... У меня в Ленинграде, как я уже писал, стоял кварц на 13 МГц и счетчик был сконфигурирован как будто для 13.5 МГц кварца. При этом картинка была почти посередине экрана, т.е. бордер был симметричен слева и справа.

После того как я поменял кварц на 14 МГц и настроил счетчик на 14 Мгц, и добавил 200 пФ конденсатор картинка сдвинулась влево, то есть теперь стало шибко заметно что бордер справа шире чем слева.

Можно ли это как-то исправить? Там ещё какой-нибудь счетчик подкрутить?

Useful comment + 0 | Useless comment - 0
Sunday, March 29, 04:35:42 2020 | post link    
Ivan Gagis
Posts: 49
Name: Ivan Gagis
согласно вот этой статье:
http://zxbyte.ru/leningrad_upgrade.htm#2

при 14 МГц центровка должна быть самая лучшая, но в моем случае это почему-то не так...

Useful comment + 0 | Useless comment - 0
Sunday, March 29, 04:40:41 2020 | post link    
Ivan Gagis
Posts: 49
Name: Александр
Ivan Gagis
Не знаю. У меня, в принципе, тоже системный экран чуть-чуть влево сдвинут, вот я ввёл команды BORDER 5, PAPER 6, для наглядности:
image
Настраивал счётчики по той же схеме с zxbyte. Кварц ровно на 14 МГц стоит.

Useful comment + 0 | Useless comment - 0
Thursday, April 2, 15:07:28 2020 | post link    
Александр
Posts: 121
Name: Александр
P.S. Спаял я наконец-то плату ELECTRO-SOUND v1.4. Хотя после ещё нескольких доработок её можно уже назвать v1.7, т.к. пришлось дорабатывать схему выходного усилителя. Вот его конечная схема со всеми доработками:
DSC-0280
1. Для согласования уровней сигналов нужно дополнительно в параллель с входными конденсаторами ставить диоды 1n4148(кд522), если не поставить, сигнал будет перегружен.
2. Т.к. питания для транзисторов через сопротивления-регуляторы 2,2кОм недостаточно, нужно дополнительно с линии питания подтянуть резисторы 330 Ом к коллекторам.
3. Несмотря на диоды, транзисторы всё равно находятся в "возбуждённом" состоянии ;) Поэтому дополнительно ставится ООС - от коллекторов к базам транзисторов протягиваются сопротивления на 27кОм.
Только сделав эти 3 доработки, я получил качественный звук, вот, послушайте:
https://yadi.sk/d/OKwDiiiRUeKdeg
Это музыка Factor6 с демы Gemba boys - We are alive, очень чёткий музон;) Записывал в Audacity через лин.вход ПК.
Также прилагаю фотки платы:
DSC-0281
DSC-0282
На задней стороне видны диоды и резисторы - это те самые 3 доработки выходного усилка))
А сделал эту плату ELECTRO-CRUSH, т.е. Я ;)
DSC-0283

Useful comment + 0 | Useless comment - 0
Thursday, April 2, 16:13:52 2020 | post link    
Александр
Posts: 121
Name: Александр
Всем доброй ночи;) В общем, я давно заметил, что мой спек не совсем правильно отображал цвета, скажем, жёлтый цвет с повышенной яркостью (Bright 1) был очень сильно пересвечен и казалось со стороны, что это не жёлтый, а белый. Поэтому сегодня я весь день делал новую доработку на видеовыход и хочу вам её представить, дабы ни у кого больше не было проблем с цветами:
DSC-0284
По порядку:
1. делаем доработку видеовыхода Ленинграда с сайта zxbyte.ru (2 вариант). https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p560
2. напаиваем снизу платы на 4, 7, 9 и 12 ногу мультиплексора D36(КП11) резисторы на 1кОм и подтягиваем их к земле.
3. Меняем на выходах RGB с мультиплексора (4, 7 и 9) резисторы с 680 Ом (стандартная схема) на 470 Ом.
4. На 12 выходе, выходе яркости, после диодов, также меняем резисторы с 3 кОм(стандарт) на 1 кОм.
5. На выходе RGB, где стоят резисторы 27 Ом (стандарт), убираем их и ставим перемычки.
6. Полностью убираем из схемы диод VD4, который подмешивает сигналы RGB в синхроимпульс (или синхру в RGB, не знаю, это не точно) ;)
7. Меняем обвязку RGB-смесителя, который ранее шёл к этому самому диоду:
А) Сигнал R - c 1 кОм(стандарт) на 1,5 кОм.
Б) Сигнал G - с 470 Ом(стандарт) на 750 Ом.
В) Сигнал B - с 2 кОм(Стандарт) на 3 кОм.
8. Также на смесителе находятся ещё 2 резистора - один на 680 Ом, идёт со смесителя на 5 вольт,и второй на 1 кОм, идёт к массе(стандарт).Первый меняем на перемычку. Второй меняем на 470 Ом.
ВСЁ!
После этого у меня наконец-то появился нормальный, не пересвеченный жёлтый цвет в повышенной яркости (BRIGHT 1), который теперь можно отличить от белого:
image 2 3 4
Для сравнения, можете поискать мои старые скриншоты (я их здесь выкладывал) и убедиться в том, что пересвеченности жёлтого цвета больше нет!
P.S. Я не знаю, что ещё сказать, поэтому выложу сюда скриншот рекламной заставки в игре "Чёрный ворон" с очень важными цитатами, по моему мнению:
image

Useful comment + 0 | Useless comment - 0
Saturday, April 4, 02:53:43 2020 | post link    
Александр
Posts: 121
Name: Иван E-mail: Blackflash2009@yandex.ru
Может кто помочь есть готовая плата в порт расширения кворум бк 04 на чипе AY-3-8910 (чтобы лутом сделал детали запаял и работало) ?
Useful comment + 0 | Useless comment - 0
Saturday, April 4, 18:12:28 2020 | post link    
Name: Александр
Добрый вечер. Ребят, помогите мне сделать переключение ПЗУ в 0-й банк памяти. Пытался по доработке контроллера дисковода сделать, чтобы от RESET работало, безуспешно. У меня в 0 банке записан MADROM 3.5.
Useful comment + 0 | Useless comment - 0
Sunday, April 5, 20:28:36 2020 | post link    
Александр
Posts: 121
Name: Ivan Gagis
Александр
У меня экран выглядит так:

zx-uncentered

то есть, гораздо сильнее сдвинуто влево чем должно бы.

К сожалению с РОМом помочь не могу, сам ещё не дошёл до этой доработки, мне ещё прдедстоит :)

Useful comment + 0 | Useless comment - 0
Tuesday, April 7, 13:45:42 2020 | post link    
Ivan Gagis
Posts: 49
Name: Александр
Попробуйте сверить настройки DD4 с моими:
Выводы 1, 15, 14, 9 - на землю, вывод 10 - на +5В. Если всё правильно, то возможно, что-то не так с кварцем. Если у вас есть частотомер, нужно замерить частоту с выхода генератора (6 нога DD1).

Useful comment + 0 | Useless comment - 0
Wednesday, April 8, 23:39:49 2020 | post link    
Александр
Posts: 121
Name: Vlad
Добрый день. Ребят, помогите разобраться в схеме тактового генератора. Почему в двух из трех принципиальных схем, ножки 4 и 10 микросхемы DD2(1533ТМ2) не подведены к +5V ? На плате они также висят в воздухе. Но на схеме вариант 2 они подведены к +5V. На моем Ленинграде был не исправен тактовый генератор, на 6 ножке процессора , я не наблюдал частоту. После замены DD2 и добавления перемычек DD2-4-> +5V и DD2-10-> +5V
тактовый генератор заработал. Это нормально ?

Useful comment + 0 | Useless comment - 0
Thursday, April 9, 10:12:50 2020 | post link    
Posts: 2
Name: Ivan Gagis
Александр

проверил DD4, вроде все правильно там.
У меня сделано все как в таблице отсюда: http://zxbyte.ru/leningrad_upgrade.htm#2

Для 14МГц: 9, 1, 15 ноги на земле; 10 нога +5В.
14 нога у меня тоже на земле, хотя в таблице её нету.

Однако в скане из книжки отсюда: https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

для 14 МГц: 10, 9, 14 ноги на земле; 1, 15 ноги +5В.

Кому верить?

С кварцем вроде все в порядке, вот осциллограмма с 6 ноги D1:
screenshot-192-168-1-17-2020-04-10-00-42-35

В правом верхнем углу скриншота видна частота.

И как уже писал выше, строчная и кадровая частоты тоже верные.

Useful comment + 0 | Useless comment - 0
Friday, April 10, 00:54:12 2020 | post link    
Ivan Gagis
Posts: 49
Name: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, самый простой вариант – вернуться к исходным настройкам с кварцем 13 МГц. Дело в том, что на плате может быть микс из микросхем разных серий (155/555/1533 и т.п.), в этом случае времянки могут сильно отличаться от нормальных.

Vlad, как правило, с входов микросхем ТТЛ, висящих в воздухе, считывается единица. Поэтому иногда при разводке платы незадействованные входы оставляют не подсоединенными. Но для надёжности их лучше все-таки заводить на +5В.

Useful comment + 0 | Useless comment - 0
Friday, April 10, 04:33:25 2020 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Vlad
Константин, я согласен с Вами, что логические уровни ТТЛ и КМОП микросхем отличаются. И если может быть для ТТЛ микросхемы прокатывает, оставленные висящие в воздухе ножки, то для КМОП нужно подводить питание к ножкам, так как уровень логической 1 на входе у них выше. Но вопрос остается тем же, почему эти входы является незадействованным. Ведь входы D-триггеров 4 и 10 это такие же входы, как 1 и 13.
И отсутствие тех или иных входов, переводит триггеры из делителей частоты в просто хранимую ячейку, устанавливая на выходах 0 или 1. То есть с выходов триггеров образуется не прямоугольный сигнал, а просто постоянные +5V, при отсутсвие логической 1 на входах 4 и 10

Useful comment + 0 | Useless comment - 0
Friday, April 10, 06:48:42 2020 | post link    
Posts: 2
Name: Ivan Gagis
Константин
Спасибо за совет, но для меня принципиально чтобы был кварц на 14 МГц, т.к. собираюсь потом подключить музыкальный чип и надо чтобы частоты были стандартные, иначе будет влиять на тон синтезируемого звука.

Вот фото моей платы:
IMG-20200410-140742

Тут видно что почти все микросхемы 555 серии. Исключения: D11 (155 серия), D7 (561), D32 (без маркировки).

То есть, я правильно понимаю, что надо попробовать заменить эти 3 микросхемы на 555 серию?
Так как найти сейчас микросхемы 555 серии может быть проблематично, можно ли попробовать обойтись добавлением каких-нибудь конденсаторов?
Какие временые задержки можно попробовать померить, чтоб понять в чем дело? (есть 2-х канальный осциллограф)

Спасибо!

Useful comment + 0 | Useless comment - 0
Friday, April 10, 14:31:40 2020 | post link    
Ivan Gagis
Posts: 49
Name: tiretrak E-mail: tiretrak@mail.ru
схема италки скорее всего не верная.
на к554са3 она взята из дельта-с и отлично там работает.
https://ibb.co/zPnNKP0

Useful comment + 0 | Useless comment - 0
Friday, April 10, 21:46:58 2020 | post link    
Name: tiretrak
схема читалки скорее всего не верная.
на к554са3 она взята из дельта-с и отлично там работает.
image

Useful comment + 0 | Useless comment - 0
Friday, April 10, 21:50:55 2020 | post link    
Posts: 3
Name: Юрий E-mail: yura3816@gmail.com
Добрый день. Подскажите пожалуйста, схему контроллера дисковода, чтоб работал на 48 килобайтном ленинграде, не хочется расширять память, а дисковод хочется прикрутить, чтоб там например программы сохранять на дискете, в интернете в основном для 128 килобайтных машин
Useful comment + 0 | Useless comment - 0
Saturday, April 11, 11:14:56 2020 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, при кварце в 14 МГц тактовая частота музыкального сопроцессора будет 14/8=1,75 МГц. Для кварца в 13 МГц – 13/8=1,625 МГц. Разница в принципе незначительная.

D11 лучше заменить на 555/1533 (74LS/74ALS,) а вот D7 и должен быть 561. D32 лучше не трогать. И ещё на схеме просматриваются какие-то доработки. Например, задействован свободный элемент НЕ (D34 выводы 8,9). Чтобы подружить схему с кварцем в 14 МГц, нужно внимательно изучить плату, зарисовать все изменения и доработки, для того чтобы затем привести включение всех счетчиков к стандарту.

Юрий, существует множество схем контроллера дисковода для Спектрума. Все они построены по одному принципу. Тут в теме давали ссылки на разные варианты, например:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p345
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p352

Ну и в сети много схем есть, а также можно найти и собранные платы контроллера. К Спектруму 48К, контроллер дисковода точно так же подключается, как и к 128К. Исключение только в одном сигнале, который должен предотвращать сваливание в TR-DOS во время работы ПЗУ 128К.

tiretrak, "читалка" – это по сути АЦП. Существует множество реализаций АЦП для клонов Спектрума. Этот вариант проверенный:



Вариант из схемы «Дельта С» не проверял, но нужно сверять рисунок с реальностью, т.к. опубликованная схема «Дельты С» изобилует множеством ошибок и неточностей.

Vlad, входы 4 и 10 триггера D2 оставили в воздухе, т.к. просто не хватило места на плате для соответствующих дорожек. Ну, а триггер ТМ2 работает как делитель частоты и без подведения этих входов к плюсу.

Useful comment + 1 | Useless comment - 0
Saturday, April 11, 23:36:24 2020 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Ivan Gagis
Константин
Спасибо за информацию!

Вот такие отклонения от схемы мне удалось найти:
leningrad_sch.png

Не знаю на сколько они имеют какой-то смысл... Например элемент НЕ включен как-то задом на перёд... Ещё было подозрение что диоды тоже, но у них почему-то черная полоска у анода, а не у катода как обычно. Какие-то древне-советские диоды.

Попробую отменить эти доработки, потом отпишу о результатах.

Useful comment + 0 | Useless comment - 0
Sunday, April 12, 05:04:00 2020 | post link    
Ivan Gagis
Posts: 49
Name: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, доработки явно сделаны под конкретное подключение и под прошлый кварц. Смело можно убирать ) А маркировка у диодов разная бывает. Я никогда на неё не ориентируюсь, проверяю каждый мультиметром при установке.
Useful comment + 0 | Useless comment - 0
Sunday, April 12, 07:27:11 2020 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
Ivan GagisСудя по отклонениям в схеме, это были какие-то древние доработки видеосигнала. Как видно, производитель платы заранее хотел, чтобы не было проблем с подключением к телеку, наверное;) Насчёт диодов, с Константином согласен. Это наши, советско-русские диоды, КД522. У них чёрная полоска на аноде, а так, лучше, конечно проверять мультиметром перед запаиванием.
Useful comment + 0 | Useless comment - 0
Sunday, April 12, 11:23:36 2020 | post link    
Александр
Posts: 121
Name: Александр
Кстати, у меня ЧП. Мой Ленин сгорел, я случайно замкнул пинцетом 15 и 16 ногу микросхемы D7, вследствие чего под ней дорожка от 15 к 7 ноге выгорела, после чего он вообще перестал что-либо показывать. Микросхему заменил, дорожку восстановил, всё равно ничего не показывает. Замерил сопротивление между +5в и массой - в районе 170 Ом. Куда копать, что менять, подскажите?
Useful comment + 0 | Useless comment - 0
Sunday, April 12, 11:37:46 2020 | post link    
Александр
Posts: 121
Name: Константин Айги (CXEMATEKA.RU)
Александр, бывает такое. Нужно отключить все разъемы. Вынуть все микросхемы, которые на панельках – прежде всего ПЗУ, ОЗУ, Z80. Далее еще раз измерить сопротивление между массой и +5В. Сейчас вот проверил на трех платах (два «Ленинграда» и «Композит»). Сопротивление по шине питания у них 470 Ом, 800 Ом, 1К. Обычно при замыкании по питанию рвется там, где тонко ) Соотв. нужно прозвонить всю шину питания, т.е. убедиться, что питание (GND и +5В) подходит к каждой микросхеме.
Useful comment + 0 | Useless comment - 0
Sunday, April 12, 22:34:12 2020 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Ivan Gagis
Отменил эти доработки, экран стал более-менее по центру.

Вместе с этим, пропала картинка при подключении через SCART->HDMI конвертер, который RGB не поддерживает.

При подключении к телевизору напрямую картинка есть, но так же как и раньше моргает.

Подозреваю, что те доработки как-то замешивали пиксельный сигнал в сигнал синхронизации, то есть как бы композит получался.

Теперь дождусь когда пришлют нормальный SCART->HDMI конвертер с поддержкой RGB. Попробую как с ним будет работать, если картинка будет моргать, то буду делать доработку про укорочение строчного синхроимпульса.

Useful comment + 0 | Useless comment - 0
Tuesday, April 14, 00:17:04 2020 | post link    
Ivan Gagis
Posts: 49
Name: Damask
Привет! Я писал ранее, но никто здесь не ответил.
Нужна помощь знатоков!
Непонятно по какой причине начал глючить спектрум(Orizon-Micro). Ранее была подключена звуковая плата которая не работала. Скорее всего по причине неправильной логики. Были использованы HD74HC00 и HD74HC02 вместо К555ЛЕ1 и К555ЛА3. Я нашел нужные микросхемы и заменил на необходимые, но не успел проверить т.к. ПК начал глючить. В итоге плата была отключена, но это не помогло. Замена процессора так же не помогла.

Видео как глючит
https://youtu.be/8jE-eP3sjnM

Useful comment + 0 | Useless comment - 0
Tuesday, April 14, 01:06:01 2020 | post link    
Posts: 4
Name: Александр
Проверил сопротивление на шине питания после установки счётчика ИЕ10 - 245 Ом без ПЗУ, ОЗУ и ЦП. Питание приходит на все микросхемы, как ни странно. Решил менять все микросхемы, которые формируют видеосигнал. Пока что неисправным оказался счётчик D4.
Useful comment + 0 | Useless comment - 0
Tuesday, April 14, 02:39:52 2020 | post link    
Александр
Posts: 121
Name: алексей E-mail: artlands@yandex.ru
подскажите оригинальный
Sinclair ZX Spectrum (16k Issue 2 Motherboard)
проодит штатный сброс (красные линии вниз)
и черный экран. стабильный.
лежал лежал и долежался. был рабочим.

Useful comment + 0 | Useless comment - 0
Wednesday, April 15, 22:37:10 2020 | post link    
Name: Денис
тут писали про плату расширения для звука.
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p656

интересно подробности узнать, схему, ссылку.

Useful comment + 1 | Useless comment - 0
Friday, April 24, 09:31:59 2020 | post link    
Денис
Posts: 10
Name: Александр
Денис, доброго времени суток. Вот ещё дополнительные подробности по плате ELECTRO-SOUND: https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p666
При сборке платы их также нужно учесть.
Вот, непосредственно, схема платы с включёнными доработками усилителя: DSC-0285
Также стоит учесть дополнительные нюансы:
1. Сигнал CLK берём со второй ноги D3 ИЕ7(H1).
2. Переключатель SW3 на схеме отвечает за выбор сигнала RESET для ковокса. Я его поставил специально, согласно описанию к схеме самого ковокса, которое вместе с этой схемой находится вот здесь: http://zxpress.ru/article.php?id=9750 На выбор RESET доступны 3 варианта:
А) +5 вольт
Б) RESET с компьютера
В) Схема с конденсатором и резистором.
3. Сигнал DOS, находящийся в лог.0 при активном контроллере дисковода, необходимо инвертировать через ЛН1, прежде, чем подавать на вход схемы.
Также дополнительно прикладываю файл платы для программы Sprint Layout 6.0: https://yadi.sk/d/QMd2SN5Zeuwtaw

Useful comment + 1 | Useless comment - 0
Saturday, April 25, 07:42:23 2020 | post link    
Александр
Posts: 121

<<<< 19 18 17 16 15 14 13 12 11 10 9 8 >>>>

Download all comments!

Hits: 1,158,999

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU