CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Name: sn0wday E-mail: rostislav.nikitin@gmail.com
Привет. Подскажи, а есть схема не пентагон ? Вообще, что бы ты посоветовал собирать ? Какой ZX клонов самый удачный ?
Useful comment + 0 | Useless comment - 0
Saturday, January 28, 16:18:59 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
sn0wday, пожалуй, «Ленинград» как был, так и остается самым дешевым и простым для сборки клоном Спектрума. А как его довести до ума, я собственно и показал на видео )

А так, есть немало разных современных клонов, например:
http://www.zxkit.ru/katalog-1/zxkit-005
http://nedopc.com/zxevo/zxevo.php

Но будет это всё подороже...

P.S. Вообще, подумываю свою плату разработать, со всякими доработками и простую в сборке )

Useful comment + 3 | Useless comment - 0
Sunday, January 29, 08:36:10 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Alex Babkin
Приветствую. Все очень подробно расписано, описано и т.д. После просмотра Вашего видео по сборке "ZX Spectrum"-совместимой машинки у меня снова проснулся к ним интерес... Ситуация у меня такая: есть у меня так называемый "Ленинград-НЭТИ" (обычная "ленинградка" с исправленными мелкими блохами и разъемом шины), но, увы, неисправная... Кварцевый генератор вроде стартует, на 6 лапку процессора тактовая приходит, а работать не хочет (вертикальные полосы вместо растра)... Осциллограф есть, частотомер есть, спирт тоже. Портреты платы с обеих сторон и снимки с экрана организую. Проконсультируете по поломке?
Useful comment + 0 | Useless comment - 0
Sunday, January 29, 18:09:19 2017 | post link    
Alex Babkin
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Alex, судя по фото, не всё там так плохо. Для начала есть смысл проверить мультиметром напряжение питания на разных участках платы. Напряжение везде должно быть не ниже 5-ти вольт.

Ну и неплохо бы попробовать тестовую прошивку запустить:
http://www.cxemateka.ru/v1/test48k.bin (вариант без заполнения экрана)

И вариант с заполнением экрана (для особо запущенных случаев):
http://www.cxemateka.ru/v1/test48k_org.bin

Useful comment + 1 | Useless comment - 0
Tuesday, January 31, 08:23:13 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Zimakos
Константин, Приветствую!
Посмотрел видео и вспомнил, как видел (или слышал) твою фамилию в конце 90-х, когда я и познакомился с спекой. Спасибо тебе за труды!
А так же спасибо за видео. Будем ждать новых.

Useful comment + 1 | Useless comment - 0
Tuesday, January 31, 16:31:28 2017 | post link    
Name: Вадим E-mail: ew7dk@mail.ru
ценник с ошибкой в слове контроллер. проще найти ошибку в плате, чем в слове. :)
Useful comment + 0 | Useless comment - 0
Tuesday, January 31, 23:28:54 2017 | post link    
Name: Nano1985 E-mail: nano1985@yandex.ru
Народ, кому интересно приобрести "Компаньен-2", могу обменять на рабочую видяху главное не ниже GDDR5 1 гиг )) https://ru.wikipedia.org/wiki/Компаньон_(компьютер)
Useful comment + 0 | Useless comment - 0
Wednesday, February 1, 03:35:12 2017 | post link    
Name: Alex Babkin
Константин, приветствую. Визуальный осмотр платы выявил несколько КЗ, после устранения которых плата запустилась. Но, на всем экране (включая бордюр и основное поле экрана) видны вертикальные полосы. Бейсик из ПЗУ выполняется нормально (бейсик закатан "Синтезовский"). Видео прикрепляю: https://youtu.be/5rEVgABDXUc
Useful comment + 0 | Useless comment - 0
Wednesday, February 1, 11:32:58 2017 | post link    
Alex Babkin
Posts: 10
Name: Роман
Где можно сейчас приобрести комплект для сборки Спекки? ( плату, детали, 5' дисковод и прочее) Очень хочу повторить!!!
Useful comment + 0 | Useless comment - 0
Thursday, February 2, 08:59:33 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Alex, когда помехи идут по всему изображению, то тут три варианта:
1. проблема с подключением компа к монитору/телевизору (что-то не так подключено, сигналы несовместимы и т.п.)
2. источник питания нестабилен или мощности в нём маловато (стоит попробовать другой, заведомо исправный и достаточно мощный)
3. поскольку искажения идут по всему изображению, включая бордюр, я бы начал проверку с элементов в правом нижнем углу платы, а именно с микросхемы КП11 (КП14), которая рядом с вертикально расположенной ЛП5. Осциллограф тут конечно бы не помешал )

Useful comment + 0 | Useless comment - 0
Saturday, February 4, 13:16:49 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Константин Айги (CXEMATEKA.RU)
Роман, в конец статьи добавил информацию о том, где и как достать детали.
Useful comment + 0 | Useless comment - 0
Saturday, February 4, 14:49:21 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: shurik-ua E-mail: rrurrer@gmail.com
Спектрумистов бывших не бывает.
Советую посетить zx.pk.ru, сцена по прежнему жива - там много чего было разработано для спека, может быть там найдёте интересные идеи для разработки.
Удачи.

p.s. Сам собирал примерно в те же годы, но Ленинград-2. Выше видео прям сплошное ностальжи )

Useful comment + 2 | Useless comment - 0
Saturday, February 4, 17:03:32 2017 | post link    
Name: Александр
присоединяюсь к шурикуua -сам собирал в Харькове Ленинград2-самая популярная модель в 92-93 годах,подключал и муз плату -разные испытывал процы-ямаха рулит однозначно ))) позже купил Пентагон 128-вот это уже был аппарат )))Робик,Орель Бк и тд и тп. Респект автору всё так и есть-100% Но когда собрал самый первый спектрум включил и он сразу заработал(перед включением всё проверил многократно-на плате было 4 точки брака-заведомо сделаны чтобы ещё заработать) и эти чувства не передать-восхищение от работы и первый комп ... да...а ещё и пробник для контроля звуковой собирал-легче платы проверять по звуку было при наладке нажал ресет и слушаешь шины)))
Useful comment + 1 | Useless comment - 0
Sunday, February 5, 04:05:10 2017 | post link    
Posts: 1
Name: konstantin
Доброго времени суток , пожалуйста подскажите не могли бы вы мне продать готовый пзу с ос и сколько это будет Стоит. и еще к кокой Ноге подключается "читалка "
Useful comment + 0 | Useless comment - 0
Sunday, February 5, 23:27:15 2017 | post link    
Posts: 2
Name: Константин Айги (CXEMATEKA.RU)
konstantin, распространением деталей я не занимаюсь. А вообще, всем кто всерьез задумался о сборке и доработке подобных устройств, я бы порекомендовал приобрести или собрать себе программатор, способный шить EPROM. Тогда всё будет много проще )

Что касается "читалки". В оригинальной версии Ленинграда "читалка" собиралась на связке микросхем 140УД6 и 521СА3. Эта схема оказалась не самой удачной, и впоследствии зачастую применялись уже другие решения.

Я собственно, поэтому и не стал собирать оригинальную схему "читалки", а сразу собрал вариант на 554СА3:

Схема простая и надежная. На вход "in" подается сигнал с магнитофона, смартфона, звуковой карты компьютера и т.п. Выход "out" соединяется с 11 выводом микросхемы D38.


Useful comment + 0 | Useless comment - 0
Monday, February 6, 08:03:19 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: joub
День добрый, а есть ли нормальный плеер для tap фалов для Android?
К примеру - вот "железный" плеер http://trolsoft.ru/en/sch/zx-tapper но хотелось бы использовать Android телефон в качестве токового...

Useful comment + 0 | Useless comment - 0
Monday, February 6, 11:15:21 2017 | post link    
Posts: 2
Name: Константин Айги (CXEMATEKA.RU)
joub, есть такой - TeeZiX называется. Пробовал его ставить, работает:
https://play.google.com/store/apps/details?id=dk.rift.tzxdroid

Useful comment + 0 | Useless comment - 2
Monday, February 6, 13:26:54 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: konstantin
Я понимаю, что микросхема 27c256 (меньше не нашел )подойдет и 48к версии и часть памяти просто не будет использована ?

А вы можете прошить?
Просто для меня не выход поять программатор eprom памяти .так как не кто не знает нужен мне будет дальше ,а деньги и так нужны

И читалка это просто микроусилитель по-сути ?

Useful comment + 0 | Useless comment - 0
Monday, February 6, 22:08:54 2017 | post link    
Posts: 2
Name: серг
Респект и уважуха!
Useful comment + 1 | Useless comment - 0
Monday, February 6, 22:16:31 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
konstantin, да, можно использовать 27C256. Можно сразу зашить туда и стандартную ОС для версии 128k:
с адреса #0000-#3FFF SOS128k
с адреса #4000-#7FFF SOS48k.

Я с прошивкой не помогу, но, думаю, найти кого-нибудь, кто поможет сегодня несложно. Можно тут поспрашивать: http://zx-pk.ru/forum.php

Нет, "читалка" – это одноразрядный АЦП. На вход приходит аналоговый аудиосигнал, а на выходе мы получаем уже цифровой сигнал (ноль/единица).

Useful comment + 0 | Useless comment - 0
Tuesday, February 7, 16:47:33 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Михаил
А какую схему расширения памяти на РУ5 Вы бы посоветовали?
Useful comment + 0 | Useless comment - 0
Thursday, February 9, 12:20:44 2017 | post link    
Name: Mihailsi
Здравствуйте, благодарю за работу, оч интересно было вспомнить сей по истине легендарный компьютер, ждёмс продолжения в следующем матерьяле:)
Useful comment + 1 | Useless comment - 0
Thursday, February 9, 22:52:43 2017 | post link    
Posts: 1
Name: Константин Айги (CXEMATEKA.RU)
Михаил, а ту же самую )

Вот вариант для РУ5 (добавляется несколько элементов):


Useful comment + 1 | Useless comment - 0
Friday, February 10, 04:29:31 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Toboe
А где достать контроллер дисковода, музыкальный сопроцессор?
Useful comment + 0 | Useless comment - 0
Sunday, February 12, 14:49:26 2017 | post link    
Name: Mr.Spock
Ностальгия эээх. Молодец!
Useful comment + 1 | Useless comment - 0
Tuesday, February 14, 11:23:36 2017 | post link    
Name: Михаил
Пропиарил на Полигоне )
Useful comment + 1 | Useless comment - 0
Tuesday, February 14, 12:38:03 2017 | post link    
Name: Toboe
Константин, куда пропал?
Useful comment + 0 | Useless comment - 0
Tuesday, February 14, 15:22:14 2017 | post link    
Name: Toboe
Эээ! Не надо тут под моим ником писать! Я кстати нашёл де можно почти все детали купить и плату http://retro-pk.wixsite.com/zx-spectrum
Только тут уже немного модифицированная плата, зато есть платы музыкального сопроцессора и контроллера дисковода.

Useful comment + 1 | Useless comment - 1
Wednesday, February 15, 07:03:25 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Toboe, да, практически всё можно найти сегодня в сети. Даже дисководы 5.25 можно приобрести на сайтах вроде https://www.avito.ru/.

А чтобы никто под Вашим ником не мог написать, – регистрируйтесь, тем более что сайт наш будет развиваться. Кстати, планирую опубликовать толковые схемы для самостоятельной сборки контроллера дисковода, платы музыкального сопроцессора и тому подобных устройств.

Useful comment + 2 | Useless comment - 0
Wednesday, February 15, 10:36:17 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Юрий
Немного поразил конец статьи:
"Всё остальное можно найти в продаже"
"Процессор Z80 - 1 шт."

Что-то я не приметил в своем городском магазине радиодеталей Z80.
И вообще, их же лет пятнадцать назад кончили выпускать, даже на складах остаться не должно, нет?

Useful comment + 0 | Useless comment - 0
Wednesday, February 15, 15:27:27 2017 | post link    
Name: Toboe
Юрий,вот это как раз-таки не проблема.На том же али их пачками продают. Или... неужели вы рассчитывали всё купить у себя в городе, по моему такое только в Москве возможно. У нас, например, даже современные детали трудно купить, давно уже почти всё у китайцев покупаю, и дешевле в раз в 5-10 получается.
Useful comment + 1 | Useless comment - 0
Wednesday, February 15, 20:10:19 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Да, похоже, в разных регионах по-разному дела с доступностью деталей обстоят. Я процессор Z80 для этого проекта в ближайшем магазине радиодеталей купил ;)
Useful comment + 0 | Useless comment - 0
Wednesday, February 15, 20:56:09 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Юрий
Просто "самодельным компьютером на рассыпухе", как мне кажется, можно называть только такой компьютер, который собран из существующих в закромах/на местном радиорынке деталей.
Типа как клон оного Спектрума на АТмеге.
А клон Спектрума на Z80 уже носит характер исторического моделизма, с тем же успехом я могу заказать из Китая комплект "Собери клон Спектрума" с разводкой и всеми микросхемами - но это НЕ ТО.

Useful comment + 0 | Useless comment - 0
Wednesday, February 15, 21:10:31 2017 | post link    
Name: Alex Babkin
Вернемся к нашим "баранам" :-) Копаясь в шкафу с деталями в поисках регистров, я наткнулся на свой второй спек в лице "Пентагон-128" с мелкими доработками. И опять-таки дефектный :-(. При включении без процессора и ПЗУ выдает такую картину:https://pp.vk.me/c636524/v636524275/4aaf6/mln1z9sn3cs.jpg ; а с процессором и ПЗУ выдает:https://pp.vk.me/c636524/v636524275/4ab00/iquj7Bhpknc.jpg ... По картинке ясно видно, что синхрогенератор работает, тактовая частота 3.58МГц на проц приходит, но что-то глючит... Сопротивления подтяжки шины данных - 2.2К.
Useful comment + 0 | Useless comment - 0
Thursday, February 16, 05:10:31 2017 | post link    
Alex Babkin
Posts: 10
Name: Alex Babkin
И в догонку жутковатое видео (не)прохождения теста 48: https://youtu.be/QwD0Ogzstt0
Useful comment + 0 | Useless comment - 0
Friday, February 17, 06:09:26 2017 | post link    
Alex Babkin
Posts: 10
Name: Alex Babkin
И причину писать поздно,т.к уже она найдена и устранена (2 кп11 пошли прахом). Всем спасибо за внимание.
Useful comment + 0 | Useless comment - 0
Saturday, February 18, 08:27:21 2017 | post link    
Alex Babkin
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Alex, да, типичная проблема с мультиплексорами памяти. В следующем материале, как раз подобный случай буду рассматривать, но там всё несколько посложнее будет )
Useful comment + 0 | Useless comment - 0
Saturday, February 18, 18:00:38 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Алексей
Статья супер, видео тоже. Подписался, жду новых видео.
Уже думаю где что заказать, так что бы не долго ждать и не очень дорого.

Надо будет ещё понять, как всё это работает.

Useful comment + 1 | Useless comment - 0
Tuesday, February 21, 08:43:49 2017 | post link    
Алексей
Posts: 1
Name: Toboe
Неплохо бы в следующем видео узнать подробностях работы данного компьютера, назначение сигналов. Куда что приходить должно. Принципиальная схема это хорошо, но даже если знаешь работу отдельных элементов, триггеров, мультиплексоров, не сразу сообразишь куда, что приходит и что должно приходить.
Useful comment + 2 | Useless comment - 0
Wednesday, February 22, 22:02:56 2017 | post link    
Posts: 13
Name: Alex Babkin
"Нет повести печальнее на свете, чем повесть о заныканом дефекте". Или, говоря иначе, спекирум не сбрасывается в TR-DOS. Выглядит это так: https://www.youtube.com/watch?v=jhzmSf1AEh8
Useful comment + 0 | Useless comment - 0
Thursday, February 23, 07:51:23 2017 | post link    
Alex Babkin
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Toboe, да, в следующем видео будет больше теории. Уже приступаю к монтажу видеоролика )

Alex, если это Пентагон-128, то нужно смотреть приходит ли 0 на 1-ю ногу D36 (сигнал DOSEN) в момент входа в TR-DOS. Если сигнал в момент входа формируется, тогда надо проверять ПЗУ. Возможно, не в ту страницу прошит TR-DOS или сама микросхема сбойная.

Если же сигнал DOSEN не формируется, то нужно проверять цепочку: D75 вывод 8, D77 вывод 11, D76 вывод 9, D83 вывод 11. Просто в каждом случае смотрим, есть ли движение на соотв. выводах в момент входа в TR-DOS.

Useful comment + 0 | Useless comment - 0
Thursday, February 23, 15:20:55 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Download all comments!

Hits: 1,146,657

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU