CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 28 27 26 25 24 23 22 21 20 19 18 >>>>

Name: Зодчий Алекс
Артём, измерил, размер платы "Ленинграда" с маркировкой "1988 ZX Spectrum □ ZS Research" составляет 200х116 мм. Есть у меня и вторая чуть поуже точно с такой же маркировкой размером 200х114 мм.
Useful comment + 1 | Useless comment - 0
Tuesday, May 23, 00:42:01 2023 | post link    
Name: Artem
А я уже делаю 205x120.
Useful comment + 0 | Useless comment - 0
Tuesday, May 23, 08:23:05 2023 | post link    
Posts: 3
Name: Artem
Константин Айги, а если плату печатать размером: 205x120 это же нормально получится?
Useful comment + 0 | Useless comment - 0
Tuesday, May 23, 08:35:04 2023 | post link    
Posts: 3
Name: Константин Айги (CXEMATEKA.RU)
Artem, если плата делается под конкретный корпус, то итоговый размер нужно выбирать исходя из размеров корпуса. А в целом, это не так важно. Главное, чтобы всё на плату уместилось. Для примера, вот фотка моего «Ленинграда» рядом с версией «Композит», у которого плата чуть меньше:



На фото хорошо видно, что некоторые детали «Композита» расположены слишком близко к кромке платы. На моём же «Ленинграде» этой проблемы нет, т.к. плата расширена примерно на пять миллиметров по горизонтали и где-то на четыре миллиметра по вертикали.

Useful comment + 0 | Useless comment - 0
Tuesday, May 23, 14:17:33 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Radlubit
Добрый день! Собрал Ленинград 48, столкнулся с проблемой - не работает клавиатура. Проверил контакты, соединение до платы компьютера доходит. Посмотрел осциллографом мультиплексоры КП11, на входе сигналы с клавиатуры есть, а сигнал IORD постоянно в единице и выходы мультиплексоров заперты.
При этом всем компьютер выдает стабильное изображение, без пзу выводит ровные полосы, тесты памяти проходит успешно.
Подскажите пожалуйста, что я делаю не так, это мой первый опыт сборки спектрума, возможно я что-то не учел.

Useful comment + 0 | Useless comment - 0
Wednesday, May 31, 00:07:31 2023 | post link    
Posts: 1
Name: Константин Айги (CXEMATEKA.RU)
Radlubit, надо проверить элемент D14A, на котором формируется IORD. IORD формируется из сигналов процессора RD (запрос на чтение) и IORQ (запрос портов ввода-вывода). Если элемент D14A исправен, то надо проверить наличие сигнала INT (вывод 16 Z80). Без правильно сформированного сигнала INT клавиатура работать не будет. Проблема также может быть и с самим процессором.
Useful comment + 1 | Useless comment - 0
Wednesday, May 31, 11:29:25 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: ZSol E-mail: alex.zaytsev24@gmail.ru
Доброго времени суток. Подскажите, пожалуйста, направление поиска. Восстанавливаю Ленинград после "аккуратной" работы КМ-изымателей. Застрял на таком этапе: При включении питания, при наличии процессора, на экране появляется стандартный "матрас" с неплохим качеством синхронизации.При установке тестового ПЗУ тест не запускается, бордюр мигает меняя цвет, на основном поле матрас с мигающими артефактами.
Useful comment + 1 | Useless comment - 0
Thursday, June 1, 22:03:12 2023 | post link    
Name: ZSol E-mail: alex.zaytsev24@gmail.ru
P.S. И процессор и ПЗУ стабильно работают на аналогичной плате.
Useful comment + 1 | Useless comment - 0
Thursday, June 1, 22:08:40 2023 | post link    
Name: ZSol E-mail: Alex.zaytsev24@gmail.com
Нашел дефект, проблема была схеме выбора памяти, микросхема D12 555ЛА3, не было нормального сигнала RDROM. Вообще, проблемный образец попался, много поврежденных дорожек, после запуска теста выявило битую РУ5. Сейчас воюю с видео формированием, на стартовой странице бордюр ярче чем основная область экрана.
Useful comment + 2 | Useless comment - 0
Tuesday, June 6, 08:59:20 2023 | post link    
Name: ZSol E-mail: Alex.zaytsev24@gmail.com
Может кому-то пригодится. Нашел причину разной яркости бордюра и основной области экрана, отсутствовало соединение с 10 выводом микросхемы D31 (КП13) сигнал /BORDER, неправильно формировался атрибут яркости знакоместа.
Useful comment + 2 | Useless comment - 0
Thursday, June 8, 12:06:52 2023 | post link    
Name: Стёпа
Здравствуйте уважаемый Константин ! Хочу свой лененград 1 проопгрейдить до 128 к. Выбрал схему с двумя линейками ру5 всё понял кроме одного , как именно припаять второй ряд на первый ? Заранее спасибо !
Useful comment + 0 | Useless comment - 0
Friday, June 9, 09:05:12 2023 | post link    
Стёпа
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Стёпа, тут как раз об этом:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p196

Если существующая линейка РУ5 исправна, то смысл есть – не нужно выпаивать микросхемы. Минус – несколько большее энергопотребление в сравнении с вариантом на РУ7 (41256 DRAM).

Useful comment + 1 | Useless comment - 0
Friday, June 9, 10:34:36 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Стёпа
Константин есть ещё вопрос , на схеме с двумя линейками ру5 есть контакт с надписью Выбор ПЗУ 128к ,что он значит ? Куда его подключать ?
Useful comment + 0 | Useless comment - 0
Friday, June 9, 16:36:58 2023 | post link    
Стёпа
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
У Спектрума 128К, ПЗУ двухстраничное. Нижний банк (8Кб) содержит бейсик 128К. Верхний банк (8Кб) содержит слегка модифицированную стандартную прошивку Спектрума 48К. Соответственно ПЗУ 27C128 необходимо будет заменить на 27C256. При этом вывод 27 (A14) ПЗУ 27C256 нужно будет отсоединить от +5В и соединить с сигналом "Выбор ПЗУ 128К".
Useful comment + 1 | Useless comment - 0
Saturday, June 10, 01:44:30 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Стёпа
А если у меня W27C512 ,то тоже надо 27 вывод подключить к выбор пзу 128к?
Useful comment + 0 | Useless comment - 0
Saturday, June 10, 05:00:05 2023 | post link    
Стёпа
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Да, если W27C512 прошить так:
#0000-#7FFF пусто
#8000-#BFFF SOS128k
#C000-#FFFF SOS48k

Ну, или просто взять эту комбинированную прошивку:
http://www.cxemateka.ru/v1/27512.bin

Useful comment + 1 | Useless comment - 0
Saturday, June 10, 15:15:06 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Стёпа
А в случае использования w27c512 и сигнала выбор пзу 128к нужно выполнять дороботку по установке 27с512 ? :
https://www.cxemateka.ru/v1/Other.png

Useful comment + 0 | Useless comment - 0
Monday, June 12, 17:32:35 2023 | post link    
Стёпа
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Если контроллер дисковода не планируется подключать, то можно обвязку, показанную на этой схеме не делать.
Useful comment + 0 | Useless comment - 0
Monday, June 12, 20:48:21 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Стёпа
Константин ,не могли бы вы (если у вас есть) отправить простую схему контроллера дисковода который может работать с этой прошивкой :http://www.cxemateka.ru/v1/27512.bin
Useful comment + 0 | Useless comment - 0
Friday, June 16, 12:05:57 2023 | post link    
Стёпа
Posts: 10
Name: имдра ымдр
Самый простой, по моему, nemo-fdi. https://zx-pk.ru/threads/30533-dorabotka-nemo-fdi.html Микросхемы с али можно заказать (зарубежные аналоги). Заработала сразу. Есть tr43 и ФАПЧ.
Useful comment + 1 | Useless comment - 0
Friday, June 16, 16:48:52 2023 | post link    
Posts: 7
Name: Константин Айги (CXEMATEKA.RU)
Стёпа, самый простой вариант контроллера, пожалуй, этот:
https://zx-pk.ru/threads/15819-kontroller-bdi-orel-bk-08-podgotovka-k-proizvodstvu.html

Ну, а прошивку http://www.cxemateka.ru/v1/27512.bin можно использовать с любым контроллером на ВГ93 (MB8877A).

P.S. Книга по контроллерам TR-DOS:
https://www.cxemateka.ru/ftp/bdi.zip

Useful comment + 1 | Useless comment - 0
Wednesday, June 21, 02:19:33 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Стёпа
Константин ,к стати вам не нужно содержимое пзу компьютера мастер для вашей коллекции . При запуске выводиn didaktic scalica
Useful comment + 0 | Useless comment - 0
Thursday, June 22, 13:55:00 2023 | post link    
Стёпа
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Стёпа, такая прошивка в моей коллекции есть )
Useful comment + 0 | Useless comment - 0
Tuesday, June 27, 20:50:41 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
Приветствую, Константин. Приведите, пожалуйста полный список номиналов конденсаторов. На сайте http://sblive.narod.ru есть список, но он не соответствет номиналам приведенным в принципиальной схеме на вашем сайте. Спасибо.
Useful comment + 0 | Useless comment - 0
Wednesday, July 19, 10:37:24 2023 | post link    
Posts: 8
Name: Константин Айги (CXEMATEKA.RU)
superuser, на странице http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/Leningrad48k.htm список номиналов правильный. Там конденсаторов всего 7 штук, не считая блокировочных (в скобках узел, где элемент задействован):
C1 - 10mF (RESET)
C2 - 33n (INT)
C3 - 22n (TAPE IN)
C4 - 0,1 (TAPE IN)
C5 - 6n8 (TAPE OUT)
C6 - 0,6 (TAPE OUT)
C7 - 22n (TAPE IN)

Нумерация элементов по этой схеме:
https://www.cxemateka.ru/v1/LeningradSCH180dpi.gif

Useful comment + 1 | Useless comment - 0
Thursday, July 20, 07:44:07 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
Константин, а вот C4 - 0,1 и C6 - 0,6 это в микрофарадах? И блокировочные конденсаторы это которые идут на контакты питания 47n - 68n?
Useful comment + 0 | Useless comment - 0
Thursday, July 20, 09:58:20 2023 | post link    
Posts: 8
Name: Константин Айги (CXEMATEKA.RU)
Проверил по старым схемам:
C6 ставится в пределах 68 нФ – 100 нФ (0,1 мкФ)
C4 - 0,1 мкФ

Да, блокировочные конденсаторы ставят по линии питания из расчета минимум один конденсатор на два корпуса микросхем ТТЛ 1533. Если используется микс из серий 155/555, лучше ставить больше блокировочных конденсаторов. Номинал в пределах 47 нФ – 100 нФ (0,1 мкФ).

Useful comment + 1 | Useless comment - 0
Thursday, July 20, 13:07:59 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
Константин, а какими зарубежными аналогами можно заменить транзисторную сборку T2(1NT251)?
Useful comment + 0 | Useless comment - 0
Saturday, July 22, 09:47:49 2023 | post link    
Posts: 8
Name: Константин Айги (CXEMATEKA.RU)
У 1НТ251 аналогов нет. Эти сборки до сих пор выпускаются, в продаже найти не проблема. Правда, деталь не дешевая.
Useful comment + 0 | Useless comment - 0
Saturday, July 22, 10:50:21 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
Да, но я имел ввиду, вместо сборки, поставить 4 отдельных транзистора. Только вот какие, посоветуйте.
Useful comment + 0 | Useless comment - 0
Saturday, July 22, 11:35:06 2023 | post link    
Posts: 8
Name: Константин Айги (CXEMATEKA.RU)
Вместо 1НТ251 в «Ленинградах» иногда ставили четыре транзистора КТ315:


Useful comment + 1 | Useless comment - 0
Saturday, July 22, 13:27:51 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: dzgo
superuser, вместо 1НТ251 в «Ленинградах» можно припаять к контактным площадкам КТ3102АМ, КТ368АМ и другие с похожей цоколевкой.
Useful comment + 0 | Useless comment - 0
Thursday, July 27, 12:28:01 2023 | post link    
Name: Sergey_Meln
Здравствуйте :) Подскажите пожалуйста, как прикрепить фото к сообщению?
Useful comment + 0 | Useless comment - 0
Wednesday, August 30, 07:47:38 2023 | post link    
Posts: 2
Name: Константин Айги (CXEMATEKA.RU)
Sergey_Meln, картинки здесь можно вставлять, используя соотв. HTML тэг:
<img src=ссылка_на_картинку>

Можно таким образом встроить картинку из фотоальбома в профиле. А можно загрузить картинку на сторонний фотохостинг и вставить сюда, опять же, используя соотв. HTML тэг.

Useful comment + 0 | Useless comment - 0
Wednesday, August 30, 19:36:26 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
Константин, приветствую! А как залить прошивку sos48k_only.bin (16K) на чипы 27C64? Они же по 8К. Разделить файл пополам и заливать на два чипа? Или подскажите пожалуйста, какую прошивку можно использовать для этих чипов. Спасибо!
Useful comment + 0 | Useless comment - 0
Sunday, September 10, 17:53:37 2023 | post link    
Posts: 8
Name: Константин Айги (CXEMATEKA.RU)
superuser, про прошивку двух 27C64:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p977

Useful comment + 1 | Useless comment - 0
Sunday, September 10, 18:27:48 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
И еще вопрос. А где на плате подавать общее питание для всей схемы? Правильно ли я понимаю, что достаточно +5В?
Useful comment + 0 | Useless comment - 0
Sunday, September 10, 20:01:04 2023 | post link    
Posts: 8
Name: Константин Айги (CXEMATEKA.RU)
superuser, да, 5-ти вольт достаточно, если не используется контроллер дисковода на ВГ93.

Например, вот так запитана моя плата «Ленинграда»:



Два чёрных провода идут на землю. Один запаян в центре платы к утолщенным дорожкам, другой в районе ОЗУ. Красный провод +5В запаян к утолщенной дорожке в центре платы. Там есть ещё желтый +12В, который идёт к разъёму контроллера дисковода. Провода лучше выбирать потолще (в разумных пределах).

Useful comment + 1 | Useless comment - 0
Monday, September 11, 07:16:19 2023 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: superuser
Собрал наконец то. Подключил через GBS8200 к ЖК телевизору VGA кабелем, но пишет, что нет сигнала. Подскажите пожалуйста, куда в первую очередь смотреть. Спасибо.
Useful comment + 0 | Useless comment - 0
Monday, September 25, 12:57:13 2023 | post link    
Posts: 8
Name: Boris E-mail: dzgo@yandex.ru
superuser, "Ленинград" выдает слишком большой уровень сигнала SYNC 2,5-3 Вольта. Надо в разрыв провода SYNC впаять резистор 680 Ом для снижения уровня до 1,2-1,5 Вольт, такого же как у RGB сигналов. После этого изображение должно появиться.
Useful comment + 0 | Useless comment - 0
Monday, September 25, 13:41:20 2023 | post link    

<<<< 28 27 26 25 24 23 22 21 20 19 18 >>>>

Download all comments!

Hits: 1,163,093

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU