CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    

<<<< 18 17 16 15 14 13 12 11 10 9 8 7 >>>>

Автор: Максим E-mail: ixide@mail.ru
Всем привет! Помогите пожалуйста опознать железяку: https://yadi.sk/i/To2P0NYY_qy7UA интересно с какого устройства, явно не компьютер.
Константин, может Вы знаете?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 1 ноября 14:23:21 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
Добрый день уважаемый Константин Айги и знатоки. Помогите с проблемой, укоротил строчный синхро импульс на своем Ленинграде 48к на микросхеме ЛА2 и сделал привязку к черному на микросхеме ТМ2, изображение появилось стабильное но появилась полоса с левой стороны, экран какбы сдвинут в право получается. Может кто сталкивался с подобным. Вот фото https://photos.app.goo.gl/SoASow6iHwipPWDB6 https://photos.app.goo.gl/v64GDZCNMFVj7G2SA
https://photos.app.goo.gl/9XQgvCNZmMSiDFCr9

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 ноября 14:27:21 2019 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Роман, не за что!

Максим, что это не знаю, но предположу, что это фрагмент какого-то промышленного оборудования. Помню, в своё время, кто-то мне говорил, что много Z80-х процессоров попадают в самодельные Спектрумы из старых станков и прочего подобного оборудования )

Юрий, похоже, что сигнал гашения получился слишком длинный в результате введения привязки к уровню черного. Рекомендую попробовать переделать эту доработку по схеме примененной в компьютере «Композит»:

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 9 ноября 11:01:25 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Юрий E-mail: yura3816@gmail.com
Вобщем, разобрал старую дороботку, и сделал по схеме которую вы рекомендовали выше на трёх микросхемах по моему на ЛИ1, ЛА1,ТМ2, полоса ушла, по композиту изображение отличное, но по RGB картинка немного дергается, что самое странное когда сигнал синхры подключаю не на sync а на video то картинка не дёргается, осцылограф будет через месяц, остаётся только догадываться что это может быть
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 9 ноября 14:17:50 2019 | ссылка на пост    
Автор: Роман E-mail: kr1033eu1@inbox.ru
И снова всем привет. Вообщем посидел некоторое время в интернете плюс погоняв немного карту ZXMC-2 стало понятно, что проблема не в моих руках и есть такая же проблема у других людей именно карты ZXMC-2 и самого Quick Commander-а. На форуме https://zx-pk.ru/threads/24069-qc-3-11-i-zxmc-2.html разбирали эту проблему, но решения так и не нашли. Обращаюсь к форумчанам, может кто сталкивался с этой проблемой?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 9 ноября 17:22:37 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
Константин может есть предположения что это может быть? вот видео с поддергиванием кадра https://photos.app.goo.gl/tzXfu9zxa4ELKjZeA
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 ноября 09:25:38 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
Вобщем вопрос по поводу того что экран дергается решен, просто добавил резисторы по 100 ом и все стало стабильно. Спасибо Константин, схемку что дали приму на заметку, вот вобщем по какой схеме делал доработку, все получилось просто супер, результатом доволен, проверил уже на четырех разных телевизорах ж.к. везеде работает стабильно картинка отличная https://photos.app.goo.gl/tVHffpwsMw3aW6A96 https://photos.app.goo.gl/wF94n1EkvxJuA1uh6
https://photos.app.goo.gl/Q4e4pweyVkoXKh9V7

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 ноября 12:34:18 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Константин, спасибо за ответ! В общем разобрался с этой неизвестной платой, восстановил обрезанные провода и о чудо эта хреновина заработала. Это что-то типа бегущих огней, цветомузыки и т.п. имеется 8 каналов, в ПЗУ зашито 24 программы. В общем штука интересная, но бесполезная. Пойдет на запчасти для новенького Пентагона 128)))
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 19 ноября 14:46:31 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Всем привет! Добрался до своего старенького КР-05, который когда-то раскурочил. Поменял пару битых счетчиков К555ИЕ7 (поставил 155 серии, других пока нет). С установленным процессором (без ПЗУ) есть бледная картинка, но нет матраца, экран и бордюр поочередно моргают. На шине данных тишина, ну т.е. +5в. Может, кто подскажет в какую сторону копать?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 25 ноября 20:55:50 2019 | ссылка на пост    
Автор: Maks Maksov E-mail: garret.tenb@gmail.com
Добрый день. Хотелось бы уточнить один нюанс и я надеюсь Вы сможете мне помочь. У меня есть Ленинград 48К, он одно время работал исправно, но однажды перестал грузиться с пзу и стоял в режиме "матраса". Когда я обзавелся программатором и купил пару микросхем Winbond 27C512 с доступом 70, и прошил их, я ничего не получил кроме пустого экрана. Грузится компьютер, потом матрас, а потом пустой экран. Начал играть с адресами на флеше, пробовал с адреса 08000, пробовал всю память забить прошивкой, но ни с одного адреса она не подгрузилась, так же пробовал шить с адреса 0C000 и тоже ничего.

И так вопрос:

С какого адреса надо начинать прошивать Бэйсик?

PS: Надеюсь на Вашу авторитетную поддержку.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 ноября 15:20:56 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Появились сигналы на шине данных, которые должны быть при отображении "матраса". Процессору "не нравилась" форма сигнала тактового генератора. Но матрас на экране так и не появился...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 ноября 17:28:08 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Константин скажите пожалуйста, если на 14-х (MD0-MD7) ножках всех ОЗУ по осциллографу не понятно что (шум какой-то), то им хана да?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 ноября 18:14:23 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Отпишусь еще раз сюда, может кто ответит...)))
ОЗУ проверил - рабочие, пришлось выпаивать и кроватки впаивать. Вставил ОЗУ, ПЗУ, проц. и проверил разные сигналы на плате осциллографом: WRBUF-есть, RAS-есть, INFV-есть, INT-есть, WAIT-есть, SCR-есть, WR-есть, TH-есть, H0-H7-есть, БС-есть, БК-есть, КС-есть, СС-есть, CAS-нет(H), IORQ-нет(H), RD-нет(L), IORD-нет(H), WE-нет(H). Не знаю в чем проблема, будем искать...

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 декабря 17:32:09 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Нашел две сопли: линия CAS коротила с плюсом а RD с землей. Исправил, появились сигналы CAS, RD и WE. IORQ и IORD так и нет, ищем дальше...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 декабря 18:23:30 2019 | ссылка на пост    
Автор: Damask E-mail: Janerige@gmail.com
Привет, Константин!
У меня пк оризон-микро. Я пытаюсь подключить ay-3-8910 по схеме https://zxpress.ru/article.php?id=11995
Только микросхемы у меня другие,импортные аналоги. Похоже, что проблема в подключении к 1,75мгц, я так думаю. Если подключить как советуют к выводу 12 микросхемы ие7, то сыплятся артефакты и пк нормально не загружается. Если его отключить вовсе, то на экране черный квадрат с тонкими красными полосами. Методом тыка (не научно, но читабельной схемы нет, и частоту измерить нечем) подключился к 13 ноге одной из ие7. Загружается, артефактов нет, но и звука нет. Что я делаю не так? Схему проверял много раз. Грешу на источник 1,75мгц.
Если есть рабочее решение, буду благодарен!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 декабря 14:32:29 2019 | ссылка на пост    
Автор: 156
Damask. Найдите куда идет контакт от 6 ножки Z80.
Скорей всего к оной из ног (3,2,6,7) ИЕ7. Если к 3 то ищите 1,75 на 2 ноге, если на 2 то на 6, если на 6 то на 7.
Назначение контактов у ИЕ5 и ИЕ7 разное, в статье схема для получения 1.75 на отдельной ИЕ5.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 01:43:20 2019 | ссылка на пост    
Автор: Damask E-mail: janerige@gmail.com
Спасибо за ответ, 156!
Вывод 6 z80 подключен к микросхеме к155лл1 к выводу 11. больше никуда не ведет.
Я так понимаю придется делать отдельный генератор? Сейчас посмотрю, может в других клонах вывод 6 тоже так подключен.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 04:18:35 2019 | ссылка на пост    
Автор: 156
А 12 и 13 выводы с этой лл1?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 04:28:21 2019 | ссылка на пост    
Автор: Damask E-mail: janerige@gmail.com
156, 12 вывод подключен к 4 ноге ее же, и к 13 и 2 ноге к555ла3.
13 вывод к 6 ноге кр1533тм2

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 05:04:25 2019 | ссылка на пост    
Автор: 156
Damask.
3 вывод кр1533тм2 ( к 6 ноге которого подключен 13 вывод лл1) должен идти к 5 ножке нужной ИЕ7. На 2 ноге нужной ИЕ7 должен выходить 1.75.
Вот тут я могу ошибаться. Схему можно найти тут -https://zx-pk.ru/threads/6435-vosstanovlenie-quot-orizon-micro-quot/page4.html , но для меня она почти не читаемая...

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 16 декабря 05:50:26 2019 | ссылка на пост    
Сообщений: 2
Автор: Damask E-mail: janerige@gmail.com
Нашел эту ие7, все как вы сказали, но при подключении к выводу 2, вижу черный квадрат с красными полосами :-(
через некоторое время полосы исчезают и остается лишь черный квадрат.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:10:04 2019 | ссылка на пост    
Автор: 156
Damask. К сожалению это все, что я могу подсказать. Тут нужна помощь от знающих людей, попробуйте ещё задать вопрос на zx-pk.ru
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:20:49 2019 | ссылка на пост    
Сообщений: 2
Автор: Damask
156, Благодарю за помощь!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:23:11 2019 | ссылка на пост    
Сообщений: 4
Автор: Damask
156, я подключил к выводу 3 ие7, к которой пришел на вывод 5 как вы писали(
3 вывод кр1533тм2 ( к 6 ноге которого подключен 13 вывод лл1) должен идти к 5 ножке нужной ИЕ7. На 2 ноге нужной ИЕ7 должен выходить 1.75.) и появились какие-то звуки стерео, на на музыку это мало похоже, скорее на постреливание или на попытку прорваться

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:40:54 2019 | ссылка на пост    
Сообщений: 4
Автор: Ovcharenkod E-mail: diodimon@gmail.com
Добрый день, как правильно прошить 27с512, для тестовой прошивки 48к и ОС48к. Спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 января 15:15:50 2020 | ссылка на пост    
Автор: Дмитрий
Добрый день, как правильно прошить 27с512, для тестовоой прошивки 48к и ОС48к.Спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 января 15:20:04 2020 | ссылка на пост    
Сообщений: 8
Автор: Randomize_Usr
to ovcharenkod.
Зашивайте ОС48 во все четверти 27с512, не ошибетесь.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 января 21:03:31 2020 | ссылка на пост    
Сообщений: 23
Автор: Артем E-mail: eviver@mail.ru
Константин, мог бы подсказать, почему при выполнении теста с тестовой пзу, когда по бордюру идут черно-белые линии, изображение дергается и расплывается, что шрифт толком не прочитать на экране ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 января 22:15:42 2020 | ссылка на пост    
Автор: trefas E-mail: trefas@yandex.ru
Доброго дня Константин. Нет поиска в комментариях, поэтому прошу простить если повторю чей-либо вопрос. На chip&dip нахожу только AT27C256R-70PU такую пзу. Потребуется ли вносить изменения по железу. К сожалению не соображаю в даташитах и схемотехнике, но очччень хочется самостоятельно собрать этот комп. Заказал платы на jlcpcb. Логика и простая рассыпуха есть в чипИдипе. Вот только нет процессора и ПЗУ
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 января 11:22:59 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Артем, варианты разные могут быть. Но если речь о "Ленинграде" подключённом через SCART, то без доработки по привязке к уровню чёрного можно получить подобный эффект. Телевизор будет брать цвет бордюра за уровень чёрного, со всеми вытекающими отсюда последствиями.

trefas, вариант памяти в корпусе 28-lead PDIP (DIP-28) должен работать без доработок, главное прошить правильно.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 января 18:52:32 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Максим E-mail: ixide@mail.ru
Всем привет! Так и не могу наладить свой клон, нашел причину мигания бордюра, вышла из строя одна из КП13. Потом произвел доработку сигнала синхронизации и привязку к уровню черного. Теперь при включении экран и бордюр почему-то ярко желтого цвета. При нажатии любой клавиши пищит бипер и происходит сброс. https://www.youtube.com/watch?v=jn4WCMJFdLY
Константин, не подскажите в чем может быть проблема?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 января 12:45:54 2020 | ссылка на пост    
Автор: Андрей Семенов
Всем доброго дня! В N-ный раз все пересмотрел и перечитал. Потихоньку начинаю вникать, пока жду плату, детали и оборудование из Китая. И вот, что подумалось... Крик души - так сказать. Константин или кто-то еще неравнодушный и грамотный, запилите видео на подобии https://www.youtube.com/watch?v=HyznrdDSSGM&list=PLowKtXNTBypGqImE405J2565dvjafglHU где на макетках парень все устройство ПК разбирает. Я вот задался целью понять тактовый генератор спека и вот, к каким выводам пришел: кварц на 14МHz, D1(1533ЛН1), D2(1533ТМ2) и резистор R1 (360 по одной схеме и 430 по другой) являются серцем нашего ПК. У Константина на видео синусоида на 6 ноге z80 не красивая получилась и он заменил R1 на 470 и следом еще один на следующий инвертор поставил. Вот если бы это отдельным видосом оформить и так далее про все узлы было бы оччччень круто. Я дальше вряд ли сам разберусь :(
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 января 14:09:44 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Максим, надо бы прогнать тест памяти, посмотреть, что он покажет. А так, похоже, что что-то где-то перемкнуло или же доработки собраны неверно.

Андрей, рекомендую изучить книжку «Ленинград-1 наладка и ремонт», ссылка на неё есть тут:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 января 14:32:18 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Андрей Семенов
Константин, спасибо за ссылочку. Я качал ранее книжку Настройка и ремонт с zxpress.ru в формате djvu. У вашей pdf кач-во получше будет, читабельнее.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 января 21:01:21 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Максим E-mail: ixide@mail.ru
Константин, спасибо за ответ! До доработки сигнала синхронизации он себя так же вёл, просто изображение было Ч/Б. Буду пробовать прогонять тест памяти.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 января 16:59:08 2020 | ссылка на пост    
Автор: Дмитрий
Добрый день. Запустил я свой Ленинград (версия Композит), есть вопрос при загрузке отсутствуют полосы на бордюре. Подскажите куда копать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 января 23:03:11 2020 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, рекомендую для проверки запустить такую команду:
RANDOMIZE USR 1333

Если с видеоконтроллером и портами серьезных проблем нет, то по бордюру побегут полосы, а бипер будет издавать шум.

Если этот тест проходит, то нужно будет проверить весь узел чтения с магнитофона. Проще всего это сделать осциллографом: надо проследить прохождение сигнала с аудиовхода до 11-го вывода (TAPE IN) D37 (по схеме "Композита"). На схеме "Ленинграда" TAPE IN – это 11-й вывод D38.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 26 января 07:08:57 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин, загрузка с мафона работает, программы грузятся и работают.
Randomize проверю.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 января 11:15:52 2020 | ссылка на пост    
Сообщений: 8
Автор: Дмитрий
При выполнении RANDOMIZE USR 1333 бордюр молчит.
Похоже на видеоконтроллер. что может быть.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 января 11:35:51 2020 | ссылка на пост    
Сообщений: 8
Автор: Дмитрий
Еще такой нюанс, D43 (по схеме "Композита") вместо 555КП11 стоит 555КП16, не может ли это быть причиной.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 января 12:13:28 2020 | ссылка на пост    
Сообщений: 8

<<<< 18 17 16 15 14 13 12 11 10 9 8 7 >>>>

Огласите весь список, пожалуйста!

Просмотров страницы: 1,146,870

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU