CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 16 15 14 13 12 11 10 9 8 7 6 5 >>>>

Name: Роман E-mail: Kr1033eu1@inbox.ru
Доброго времени суток. Собрал ленинград 48, и получил [URL=https://fastpic.ru/view/110/2019/0405/_026057e93673408d893fd21ba9ec8f2c.jpeg.html][IMG]https://i110.fastpic.ru/thumb/2019/0405/2c/_026057e93673408d893fd21ba9ec8f2c.jpeg[/IMG][/URL]. У меня вопрос к знающим, может кто сталкивался, где посмотреть или где косяк. Тест ОЗУ без ошибок, система запускается, только надпись Sincler reshers ltd дрожит. За ранее благодарю.
Useful comment + 0 | Useless comment - 0
Friday, April 5, 04:04:14 2019 | post link    
Name: Роман E-mail: Kr1033eu1@inbox.ru
Вот картинка из предидущего сообщения
Useful comment + 0 | Useless comment - 0
Friday, April 5, 04:07:23 2019 | post link    
Name: Александр
Приветствую! Давненько я сюда не заходил. В общем, у меня с моим Спеком сложилась масса проблем(( В частности, я не смог пока подключить к нему контроллер мышки. Но не в этом суть. На днях я решил расширить память до 256К, использовав ту же схему, которую использовал iegova, за исключением того, что переключение половинок памяти по 128К я сделал не через 6 бит порта 7FFD, а через 4 бит порта 1FFD, как в оригинальном Скорпионе, а также дешифрацию портов 1F и FE на чтение сделал по "старой" схеме - через смесь А0 и А5 через ЛИ1, вместо "новой" схемы с инвертированным через ЛН1 А1, потому что с новой схемой дешифрации контроллер дисковода не хочет запускаться, выдаёт белиберду. И всё, вроде бы заработало, тест V4.30 "видит" эти самые 256К, но некоторые демки, в частности демо Gemba boys - We are Alive, виснет после заставки, сбрасывая компьютер в "матрас" или в чёрный экран, в зависимости от ROM-прошивки. Помогите мне разобраться с этим, пожалуйста.
Useful comment + 0 | Useless comment - 0
Tuesday, April 30, 12:12:14 2019 | post link    
Александр
Posts: 121
Name: Роман E-mail: kr1033eu1@inbox.ru
Приветствую всех! разобрался я с таким матрасом и с другими нюансами! все оказалось достаточно просто. так же благополучно расширил до 128К, развел плату под звуковой сопроцессор собрал его и благополучно подключил, так же развел плату и собрал контроллер дисковода, самым сложным было прошить РТ4 программатора нету по этому шил вручную, к стати схема которая по запросу в яндексе и гугле находится немного не правильная, а точнее неправильно разведены адреса микросхемы, сразу не обратил на это внимания и попался, пришлось прожечь еще одну микросхему но уже с правильными адресами, а в целом контроллер собрался с первого раза и без особых косяков. теперь встал вопрос, о том как на дискету записать программы или игры. так как компьютера IBM с дисководом нету, встала целая дилемма и отсюда вопрос есть ли какие-нибудь программы под спек чтобы переписать с ленты на дискету. в поисковиках поискал, но конкретно не нашел. может кто подскажет ресурс где такое можно взять и посмотреть как на этом работать??? за ранее благодарю!
Useful comment + 0 | Useless comment - 0
Thursday, May 2, 01:37:47 2019 | post link    
Name: Александр
[b]Роман[/b], поищи на сайте Virtual TR-DOS.
Useful comment + 0 | Useless comment - 0
Friday, May 3, 12:26:19 2019 | post link    
Александр
Posts: 121
Name: Роман E-mail: kr1033eu1@inbox.ru
Александр, спасибо посмотрю! читал ты делал контроллер мыши, и в настоящий момент делаешь расширение до 256. хотел спросить контроллер мыши с этого сайта http://zxbyte.ru/at_keyboard_controller_for_zx.htm??? и контроллер собирал сам или заказывал??? и второй вопрос какой смысл расширения до 256???
за ранее спасибо!!!

Useful comment + 0 | Useless comment - 0
Sunday, May 5, 01:12:38 2019 | post link    
Name: Александр
Роман, да, я делаю контроллер. Собирал сам. Руководствовался вот этими статьями: http://zxpress.ru/article.php?id=4708
http://zxbyte.ru/kempston_mouse_for_zx.htm Больше склоняюсь ко второй статье, потому что тоже мышь от ЕС-ки подключаю. По работе могу сказать следующее: стрелка нормально движется в нужных направлениях, но не по всему экрану, а лишь в малой его части. Это единственный косяк с мышью, который мне осталось исправить. А насчёт 256, ну, не знаю... Просто когда была 128, контроллер дисковода немного глючил, сейчас вроде бы всё в порядке.

Useful comment + 0 | Useless comment - 0
Thursday, May 9, 16:45:20 2019 | post link    
Александр
Posts: 121
Name: Роман E-mail: Kr1033eu1@inbox.ru
Александр, спасибо за информацию, подумаю еще, начал собирать микросхемы для сборки, все нашел, даже микросхему mab 8031 ah, но пока не смог найти двух 555ир32, и смогу найти только через 2 месяца.вообщем пока приостановил. Есть еще мысль собрать контроллер мыши и часов реального времени на АТмеге, но пока думаю. А по поводу расширение с 128 до 256 если это одно отличие то для себя наверное не вижу смысла, контроллер дисковода работает стабильно и большая часть приложений и игр написана под 128. Во всяком случае я так думаю.
Useful comment + 0 | Useless comment - 0
Saturday, May 25, 19:57:12 2019 | post link    
Name: Юрий
Добрый вечер.
Похоже у нас в шапке висит неправильная схема (вариант 2).
Вот тут
https://zx-pk.ru/threads/26007-remont-zx-spectrum-leningrad.html?p=994546&highlight=#post994546
товарищ утверждает что в схеме ошибка и приводит картинки, на которых видно что надо исправить.
Так же, в другом посте,
https://zx-pk.ru/threads/30331-proshu-pomoch-vosstanovit-leningrad-48.html?p=1007750&viewfull=1#post1007750
он же публикует исправленную схему.
Я сравнил оба варианта схемы из шапки, опубликованные Константином, и похоже что в первом варианте схема такая же, как в исправленном варианте на zx-pk.ru, а вот второй вариант полностью совпадает с тем, который товарищ с zx-pk.ru называет неправильным.
Константин, не могли бы Вы, как человек, обладающий огромными познаниями в области спектрумостроения, сказать нам, какая из схем правильная, и, при необходимости, заменить схему вариант 2 на правильную?

Useful comment + 0 | Useless comment - 0
Wednesday, May 29, 21:20:41 2019 | post link    
Name: Юрий
Поисследовал методом пристального взгляда чертеж платы http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/LeningradMONTColor.gif в интернете.
Действительно второй вариант платы имеет отличия от него. Похоже и правда вторая схема ошибочна. Эх, а я по ней в диптрейсе схему нарисовал, плату развел и у китайцев заказал. (((

Useful comment + 0 | Useless comment - 0
Saturday, June 8, 08:19:46 2019 | post link    
Name: Юрий
Подключил свой Ленинград к "RGB CGA EGA YUV К VGA HD видео конвертер Moudle HD9800 HD-Конвертер доска GBS8200 неэкранированная защита". Это та версия платы видеоконвера, на кторой написано v 5.0, в отличии от обычно используемой людьми GBS8200, на которой китацы пишут V 4.0. По внешнему виду отличий между платами нет, кроме надписи о версии. Однако изображение получить не удалось. Плата говорит чот нет сигнала. Искаженное изображение появляется на несколько секунд при переключении разрешения в меню платы, но примерно через 3-5 секунд оно пропадает.
Вот теперь сижу, гадаю, это происходит потому что эта версия платы несовместима с ленинградом и нужно именно GBS8200 V 4.0 или это мой ленинград не в порядке? Пробовал посмотреть осцилографом размах сигналов на выходах. На RGB выходах размах получается около 1.2 - 1.5 Вольт, а на выходе SYNC собственно ТТЛ уровни.
Подскажите у какого какой размах сигналов на RGB, V и SYNC?
Нужно ли что-то делать с сигналом с ленинграда при подключении его к GBS8200 или можно просто напрямую подключить?

Useful comment + 0 | Useless comment - 0
Friday, June 28, 09:30:56 2019 | post link    
Name: Роман E-mail: graal20@rambler.ru
Константин, добрый день!
Сможете мне помочь вот с такой проблемой:
Пытаюсь подключить контроллер дисковода к Ленинграду-1 (48К). Сделал все вроде правильно, но в TRDOS даже не заходит, не пойму никак, в чем дело ??? контроллер прикупил на авито (отправили с Новосиба почтой), квадратный такой с собственным ПЗУ 27128, модель вроде HR41. На ленинграде стояли 2х2764. Убрал, поставил winbond 27c512 перезаписываемую, дос прошил в первые 16К, а в 16-32К прошивку ленинграда. А15 посадил на минус, А14 через резистор на плюс, и подключил к выводу контроллера Rom Enable. Все, как в описании! Вычитал в нете про изврат с выводом IORQ, который должен отключаться - тоже сделал. В контроллере ПЗУ естественно не ставлю. Запускаю - нихрена. Загружается в стандартную оболочку. Подумал, может ему собственное ПЗУ нужно. Порылся у себя, нашел ПЗУ 27128 от контроллера, и такое же для спектрума. Все закоммутировал - нихрена! Решил поисследовать этот сигнал Rom enable, подключал светодиод. Он работает следующим образом: при замкнутой перемычке СОС-ДОС лог 0 появляется на какую то долю секунды, и отключается (?!). При снятой перемычке молчит. Далее, если ввести комманду Rand usr 15616 - та же фигня, моргнет и комп тупо перезагрузился, либо на крайняк истошно орет. Попробова включить RE через инвертор, перепрошил ПЗУ - теперь СОС в младшем разряде, а ДОС в старшей (16К-32К). То же самое. Пробовал разные прошивки Доса и Соса - результат нулевой !!
Скажу сразу, первый наш комп, когда я был еще малым, именно Ленинград 1 с почти таким же контроллером! почему почти? на том контроллере был преобразователь 5-12 в для ВГ93, а здесь выведен вход 12 на разъеме. Ну и разъем под дисковод другой.
Мне этот контроллер (про который я рассказываю) достался без ПЗУ, без ВГ93 и разъема. И даже панелек не было - все впаивал, и плата заводская. Видимо, он даже ни разу не использовался. Микросхемы РТ4 сильно греются. Так и должно быть?

Useful comment + 0 | Useless comment - 0
Friday, July 5, 00:48:54 2019 | post link    
Name: Леонид
Константин, добрый день!
Спасибо огромное за видео и за статью! Как и многих здесь, оно и меня подтолкнуло к созданию своего спектрума. Помучался я с ним (и плата, заказанная у местного умельца была с частично неметаллизированными отверстиями, и моё мастерство пайки было буквально на зачаточном уровне), но всё-таки запустил. Действительно, собирать компьютер своими руками - очень интересное занятие (но не очень дешёвое :) ).

2Юрий: Я не уверен, но думаю, что проблема может быть связана с нестандартным видеосигналом Ленинграда. Необходимо проводить доработку видеовыхода, например, как описано здесь: http://zxbyte.ru/leningrad_video_out.htm. У меня данные доработки успешно работают, без них было изображение с искажениями даже на обычном телевизоре без всяких конвертеров.

Useful comment + 0 | Useless comment - 0
Friday, July 5, 11:35:34 2019 | post link    
Name: Vadim
2Роман
Доброго времени суток!
Что с питанием на РТ4 (16 вывод)? На выходах нет КЗ (9-12, можно и другие проверить на КЗ)?

Useful comment + 0 | Useless comment - 0
Friday, July 5, 13:50:58 2019 | post link    
Posts: 51
Name: Анатолий E-mail: grom60@rambler.ru
Здравствуйте. У меня плата Ленинграда (композит 93 года). Проблема такая - заместо мигания курсора мигает PAPER! Подскажите в чём причина и как её устранить. Спасибо.
Useful comment + 0 | Useless comment - 0
Saturday, July 6, 15:48:00 2019 | post link    
Name: Artem
Скажите пожалуйста, какой контроллер флоппи дисковода вы использовали и какие подойдут?
Useful comment + 0 | Useless comment - 0
Monday, July 22, 11:18:32 2019 | post link    
Name: Jet E-mail: lomas7er@gmail.com
Здравствуйте! Спасибо за статью, повторил сборку на новой плате и проапгрейдил до 128к ОЗУ и 64к ПЗУ. Хочу обратить внимание, что в плане соединений не хватает eD1-1 -> D20-26, поэтому получил сначала пустой экран) Пришлось покопаться и все перепроверять по схеме. Полагаю, что выбирался не тот банк, судя по реакции звуком на клавиатуру -- ROM от 48к спектрума.

Вероятно, еще стоит дополнить монтажку, убрав всякое барахло от "родной" читалки ленинграда (А2, А3 и их обвяз). По незнанию напаял обвязки, которая в итоге не нужна была. Но это уже придирки. Кому это реально надо разберутся сами, а те кому не надо и не будут даже пытаться.

Большое спасибо, что поделились своим опытом, без вашей статьи бы я наверное не справился!

Useful comment + 0 | Useless comment - 0
Wednesday, August 14, 09:06:48 2019 | post link    
Name: Роман E-mail: kr1033eu1@inbox.ru
Доброго времени суток. подскажите кто знает что за сигнал IORQE, в настоящий момент собираю контроллер мыши, АТ клавиатуры и часов реального времени по схеме с форума: https://zx-pk.ru/threads/609-zx_multi_card.html, со всеми сигналами разобрался остался крайний ни как не могу найти внятной информации. За ранее благодарю за помощь!
Useful comment + 0 | Useless comment - 0
Monday, September 2, 01:43:21 2019 | post link    
Name: Роман E-mail: kr1033eu1@inbox.ru
Artem, недавно собирал контроллер на 1818ВГ93 по схеме С-48, все заработало сразу, без плясок с бубном! самым трудоемким было прошить РТ4, прошивал 2 раза, так как в первый раз нашел не верную цоколевку и напутал с адресами, сделал сначала адаптор и все запустилось, потом прошил вторую так как должно быть. И в данный момент Красота! Проверяйте правильность цоколевки!
Useful comment + 0 | Useless comment - 0
Monday, September 2, 01:50:07 2019 | post link    
Name: Константин Айги (CXEMATEKA.RU)
RaymanDX, hyb511000bj использовать в принципе можно, но нецелесообразно. Форм-фактор не тот. Да и регенерироваться эта микросхема должна в 512 циклов, т.е. это значит, что по всем задействованном в схеме Спектрума адресным входам должна осуществляется регенерация. Нужна будет дополнительная обвязка при апгрейде до 128к, такая же, как и для отечественных ру7:



Юрий, спасибо, схему №2 исправил. И добавил схему №3 )

Что касается подключения к монитору через плату GBS8200, возможно придется видеосигнал «Ленинграда» приводить к стандарту. Во-первых, частота строк должна быть близка к 15,625 КГц, об этом тут.

Во-вторых, неплохо бы ввести привязку к уровню черного. Как привязку ввести, подробно рассказано тут:
http://zxbyte.ru/leningrad_video_out.htm

Анатолий, если с сигналом flash проблемы, то нужно проверять соотв. цепочку D2, D11.1, D36 (D43 по схеме композита).

Artem, я использовал одну из старых плат контроллера дисковода, что у меня остались в коллекции. Кстати, у меня даже схемы на неё нет. Но поскольку все контроллеры дисковода для Спектрума по одному принципу построены, проблем с подключением не возникло. Так что любой контроллер подойдёт, какой удастся раздобыть )

Jet, спасибо добавил eD1-1 -> D20-26 (RESET) в план соединений. Хочу заметить, что в приведенном выше видео по сборке «Ленинграда», я как раз и не паяю родную «читалку» со всей её обвязкой )

Роман, IORQE – это сигнал блокировки основных портов ввода-вывода Спектрума. Когда сигнал IORQE в единице, основные порты ZX блокируются. Самый простой способ получить такой сигнал - поставить резистор на 560 Ом в разрез между 20 выводом Z80 (IORQ) и остальной схемой компьютера. Соотв. со стороны процессора у нас будет IORQ, а со стороны платы - IORQE.

Useful comment + 0 | Useless comment - 0
Tuesday, September 3, 06:09:18 2019 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Харусима
Константин снова здравствуйте!
Прошло немало времени, как я проклеил катушки своего видеемонитора Электроника 32 ВТЦ 202, и вот теперь снова проблема, он сломался.
Сначала время включения начало увеличиваться, пока не войдёт в рабочее напряжение, картинки нет, и светодиод индикаторный на морде едва теплится. И так было сначала минута, затем все четыре на разогрев. Затем полёт нормальный, пока не выключу. Но вот в один прекрасный день он перестал включаться.

Я думаю, что дело в БП. Там перегорел предохранитель, я его заменил, и он вспышкой перегорел снова.
Прошу Вашего совета, как искать неисправность. У меня есть только тестер. Какова вероятность, что надо менять все 8 конденсаторов. Они такие аллюминивые, не хочется портить аутентичный вид и заменять их на современные, если они живые. Готов купить ESR тестер для их проверки.

Фото платы БП прилагаю ниже:
https://sun9-51.userapi.com/c854120/v854120028/e8f24/btKvRFi-Htw.jpg
https://sun9-48.userapi.com/c854120/v854120028/e8f2e/5vB9eQofTeM.jpg
https://sun9-56.userapi.com/c854120/v854120028/e8f38/PwSx2DITHuE.jpg

Useful comment + 0 | Useless comment - 0
Sunday, September 8, 02:06:15 2019 | post link    
Харусима
Posts: 12
Name: Константин Айги (CXEMATEKA.RU)
Харусима, у «Электроники» импульсный блок питания. У них КПД высокий и, стало быть, высокие требования к качеству компонентов. Чаще всего да, высыхают электролиты. Проблема в том, что выход из строя одного компонента, часто ведет за собой выход из строя и ряд других, с ним связанных компонентов. Так что тут надо внимательно проверять всю схему, деталь за деталью.

Рекомендую изучить принцип работы таких блоков, например по книге «Импульсные блоки питания телевизоров и их ремонт» (Гедзберг).

Useful comment + 0 | Useless comment - 0
Sunday, September 8, 13:32:49 2019 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Роман E-mail: kr1033eu1@inbox.ru
Спасибо Константин!
Useful comment + 0 | Useless comment - 0
Monday, September 9, 23:11:28 2019 | post link    
Name: Харусима
Константин, спасибо. Но превращаться в телемастера к большому сожалению времени не хватит. Заглянув в ту книгу, чувствую сразу пойму, что надо начинать с меньшего, и придётся прочесть ещё пяток, и эдак через полгодика забив на всё научиться ремонтировать ЭЛТ))))

Я по советам форумчан поменял с некотоырм успехом элементы на:

плата БП:
TDA4601 (вместо микросхемы КР1033ЕУ1 )
КТ872
КРЕН8Б
конды 350v220mF, 160v100mF, 40v470mF, 25v470mF(2), 25v220mF, 16v100mF(2)


Плата цоколя кинескопа:
конд-ры 160v 4.7mF - 3шт
конд-ры 16v 47mF - 9шт
резисторы 2w 1.5Kom 5% (CF-2) 3шт (Вместо МЛТ-2 1K5JBD)
ВО КТ969А 6шт

Сейчас пробовал включить, выгорел ещё один на плате цоколя кинескопа.
Вас не затруднило бы глянуть тему на радиокоте?

https://radiokot.ru/forum/viewtopic.php?f=32&t=165335

Я такими темпаки скоро половину элементов перепоменяю)

Useful comment + 0 | Useless comment - 0
Wednesday, September 11, 02:09:56 2019 | post link    
Харусима
Posts: 12
Name: Харусима
Константин, мы кое-чего добились. Кинескоп засветился.

Поменял горелый резистор на цокольной плате. На всякий случай заменил конденсаторы в модуле видеоусилителей RGB:
6шт 40v47mF (to 50v47mF)
1шт 16v100mF
1шт 16v47mF

Снял напряжение с цоколя кинескопа. Полукруглый разъём с расположенными полукругом 8 контактами. Если
смотреть на надетую на цоколь плату, то минус беру с левого нижнего угла платы, нумерация контактов слева-направо 1-8: 3= 73.6v, 5=73.5v, 8=67v.


Теперь картина такая - монитор включается, но вместо картинки (что без подключения ZX, что с ним) приплюснутый белый фон, и лёгкий высокочастотный звон, которого раньше на постоянной основе не было ( я проклеивал все катушки на правой плате, но перед кончиной иногда звон появлялся)

Фото картинки с монитора есть в теме.
Не представляю, что это может быть, и почему нет ни картинки, ни цвета...

Useful comment + 0 | Useless comment - 0
Saturday, September 21, 01:14:28 2019 | post link    
Харусима
Posts: 12
Name: Михаил
Всем доброго времени суток! Собираю плату музыкального сопроцессора по статье описанной в ссылке,

https://zxpress.ru/article.php?id=11995

и возник вопрос, а как можно корректно подмешать звук с бипера на предлагаемый там микс для каналов. Звук с бипера точно должен быть сильнее по амплитуде, нежели с каналов АВС, кто сможет подсказать как лучше? Толком не нашел ответа в интеренете... Спасибо.

Useful comment + 0 | Useless comment - 0
Wednesday, September 25, 17:27:59 2019 | post link    
Михаил
Posts: 2
Name: Константин Айги (CXEMATEKA.RU)
Харусима, если растр есть, а картинки нет, то первое, что стоит сделать, это проверить сам RGB выход ZX. Надо убедиться, что сигнал со Спектрума идёт нормальный и только после этого продолжать битву за монитор ) Ремонт этих мониторов дело непростое, особенно когда нет под рукой исправных блоков под замену...

Михаил, вот соотв. фрагмент из схемы известного клона Scorpion (сигнал AUDIO = бипер):


Useful comment + 0 | Useless comment - 0
Friday, September 27, 06:08:19 2019 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Кирилл Лукьянов
Коллеги, всем доброго времени суток =). Некоторое время назад я решил последовать примеру Константина и собрать Leningrad 48k, заказав печатную плату по гербер файлам, с сайта sblive.narod.ru и необходимые компоненты. Собрал это все воедино и приступил к отладке. Опыта у меня в схемотехнике маловато, посему первым делом хотел задать пару вопросов на тему "в правильном ли я направлении двигаюсь" =).

На гуглодоках веду некоторые заметки о том что уже сделано и с чем пришлось побороться (https://docs.google.com/document/d/1qpZ1uW-L1_6tNiQVoyhyLvp4zAgAx_uJC0F-pQk67Z8/edit?usp=sharing). Так же присутсвуют мысли в слух, которые можно игнорировать ;).

Сейчас нахожусь на моменте подключения собранной спеки к телевизору. В моем распоряжении есть AKAI LEA-24K39P. Для подключения хочу использовать SCART. Провод спаял. Для приведения напряжений так же собрал делитель напряжений. По осциллограммам вроде бы что-то похожее на правду на SCART приходит.

На форумах читал, что у Leningrad 48k синхросигнал имеет слишком длинную LOW-фазу (у меня примерно 9 мкс), а нужно около 4.6 мкс. И нашел схемку с тем как можно выполнить доработку.

Так же встречался с информацией о том, что в некоторых телевизорах RGB-вход на SCART может быть не разведен, несмотря на то, что видеопроцессор телевизора имеет RGB входы, и что можно доработать и телик чтобы SCART стал полноценным.

Сейчас у меня есть два важных вопроса:
1) Есть ли способ проверить распаян ли RGB-вход на SCART'е телевизора (в пользовательской документации особо ничего не нашел)?
2) Обязательно ли выполнять доработку для нормализации длительности SYNC сигнала?

Ну и в общем: В правильном ли я направлении иду? =)

Заранее спасибо, всем откликнувшимся.

Useful comment + 0 | Useless comment - 0
Tuesday, October 1, 23:37:54 2019 | post link    
Кирилл Лукьянов
Posts: 7
Name: Константин Айги (CXEMATEKA.RU)
Кирилл,

> Есть ли способ проверить распаян ли RGB-вход на SCART'е телевизора

Можно разобрать и посмотреть ) Но скорее всего там полный SCART, как и на большинстве современных ТВ.

> Обязательно ли выполнять доработку для нормализации длительности SYNC сигнала?

Да, без доработок видеовыхода тут не обойтись. Действительно, у «Ленинграда» синхросигнал вдвое длиннее нормы. В принципе, исправления этого сигнала может быть достаточно, чтобы подружить компьютер со скартом. Я как-то делал подобную доработку по этой схеме:



Источник: http://zxbyte.ru/leningrad_video_out.htm

P.S. Кстати, я эту схему немного упростил, использовав 1 корпус ЛА4 вместо ЛА1+ЛИ1. ЛА4 содержит три элемента И-НЕ, соотв. первый элемент И-НЕ можно использовать вместо ЛА1, а объединив выход второго элемента И-НЕ с третьим элементом И-НЕ с замкнутыми входами, мы получим в сумме элемент И (замену ЛИ1).

Useful comment + 1 | Useless comment - 0
Thursday, October 3, 09:53:47 2019 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Vadim
Кирилл, на моём капризном SAMSUNG. Все эти доработки не дали результата. Картинка была, но с искажениями и периодически "прыгали" кадры. Полистав книгу Chris Smith - The ZX Spectrum ULA. How to design a microcomputer, пришёл к решению:


Картинка стабильна. Искажений нет. Дешифратор можно заменить на логику, но понадобится больше микросхем.

Useful comment + 0 | Useless comment - 0
Thursday, October 3, 13:20:31 2019 | post link    
Posts: 51
Name: Кирилл Лукьянов
Константин, Вадим, спасибо. На следующей неделе приедут дополнительные микросхемы для доработок. Буду пробовать. Потом отпишусь о том, какой способ помог.
Useful comment + 0 | Useless comment - 0
Saturday, October 5, 13:57:39 2019 | post link    
Кирилл Лукьянов
Posts: 7
Name: Владимир
Здравствуйте, Константин. Подскажите,пожалуйста,почему мерцает экран, а курсор выводится без мерцания? Компьютер-"Ленинград-48к". Спасибо за Ваши видео и ответы на вопросы.
Useful comment + 0 | Useless comment - 0
Sunday, October 6, 21:05:12 2019 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Владимир, flash включается по седьмому биту байта из области атрибутов (вход 6 D31), вход 7 D31 должен быть на нуле. Диоды D2, D3 и резистор R4 формируют логический элемент И. Когда на выходе 12 D31 единица, flash активен (цвета PAPER и INK меняются местами в такт сигнала FLASH управляемым инвертором D11.A). Вот весь этот узел и нужно тщательно проверить.
Useful comment + 0 | Useless comment - 0
Monday, October 7, 03:08:44 2019 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Владимир
Константин, спасибо, буду проверять.
Useful comment + 0 | Useless comment - 0
Friday, October 11, 07:37:21 2019 | post link    
Name: Кирилл Лукьянов
Константин, здравствуйте. Пока ждал прихода микросхем выполнил доработку по варианту №1 (http://zxbyte.ru/leningrad_video_out.htm), для которого у меня все было. Увы, увидеть картинку на экране так и не удалось =].

После доработки синхроимпульс сократился в длине с 9 мкс до ~4.45 мкс, что близко к требуемым 4.6 мкс, но все же не равно. Я так же разобрал свой телик, и дорожки идущие от SCRAT'а куда-то на плату, там обнаружил, т.е. шансы на то, что SCART RGB умеет - увеличились.

Я наснимал некоторое количество осциллограмм в схеме с доработками. Не могли бы ли вы на них взглянуть, похожи ли они на правду, или что-то все же в схеме я накосячил =).

Картинки и описание текущего состояния в очередном гуглодокументе: https://docs.google.com/document/d/1Z52CRG3mma6fP_VbG3gwHf90yzvsDjrfQkJqR142aNg/edit?usp=sharing

Заранее спасибо.

Useful comment + 0 | Useless comment - 0
Tuesday, October 15, 03:27:20 2019 | post link    
Кирилл Лукьянов
Posts: 7
Name: Vadim
Кирилл, доработки кадровой и строчной выполнены?



Если нет, то сделать доработки.

Если да, то можно "поиграть" кварцами с большей частотой до 15 МГц.


Useful comment + 0 | Useless comment - 0
Tuesday, October 15, 12:31:50 2019 | post link    
Posts: 51
Name: Константин Айги (CXEMATEKA.RU)
Кирилл,

> Синхроимпульс периодически, раз в 20 мс, примерно на 1 мс меняет полярность.
> Период в 20 мс говорит о кадровой развертке в 50 кадров в секунду, что правильно,
> однако правильно ли, что он меняет полярность, а не полностью гасится в 0?

Да, именно так это и должно тут выглядеть.

И в целом, судя по осциллограммам, все сигналы в пределах допустимого.

Для примера, снял показатели с некоторых своих плат.

1. Классический «Ленинград» с укороченным синхросигналом:
Синхросигнал: длительность – 4.583 мкс, частота – 16.180 кГц (далеко не норма)
Частота строк (SS): длительность – 9.125 мкс, частота – 16.190 кГц (тоже не норма)
Частота кадров (KS): длительность – 0.9885 мс, частота – 51.88 Гц

Несмотря на то, что не все сигналы тут в норме, этот «Ленинград» отлично дружит со скартом – стабильная, чёткая картинка.

2. «Композит» (плата, основанная на «Ленинграде», с заводскими доработками):
Синхросигнал: длительность – 2.25 мкс (слишком короткий), частота – 15.630 кГц
Частота строк (SS): длительность – 9.125 мкс, частота – 15.630 кГц
Частота кадров (KS): длительность – 1.024 мс, частота – 50.07 Гц

«Композит» тоже со скартом дружит. Правда картинка немного дергается. Похоже из-за некоторой нестабильности сигналов. Это можно вылечить при помощи конденсаторов )

3. Есть ещё классический «Ленинград» без доработок по синхросигналу:
Синхросигнал: длительность – 9.125 мкс (слишком длинный), частота – 15.610 кГц
Частота строк (SS): длительность – 9.125 мкс, частота – 15.610 кГц
Частота кадров (KS): длительность – 1.024 мс, частота – 50.02 Гц

Эта плата со скартом не дружит. Синхросигнал слишком длинный...

Ещё добавлю, что я подключаю эти компьютеры к скарту через усилитель, который короткими проводами припаян к разъему скарта. А от подключаемого компьютера к усилителю идёт уже длинный RGB+Sync шнур:



P.S. На 16-й вход скарта обязательно нужно +5В подавать, иначе телевизор не перейдёт в RGB-режим.

Useful comment + 0 | Useless comment - 0
Tuesday, October 15, 15:06:08 2019 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Vadim
Кирилл, если под рукой есть Arduino, то можно проверить какие сигналы SCART-вход "переварит" с помощью следующей схемы:



и скетча:
/*
Vertical Bars Pattern PAL TV Signal Generator with Arduino

*/

#include
#include

///////////////////////////////////////////////////////////////////////////////////////
// Pins where the 2-bit DAC is connected

#define PINA0 6 // LSB, 1 kOhm resistor
#define PINA1 7 // MSB, 330 Ohm resistor

// PINA1 PINA0 OUTPUT
// 0 0 0.0V - Sync level
// 0 1 0.3V - Black level
// 1 0 0.6V - Gray level
// 1 1 1.0V - White level

#define LEVEL_SYNC PORTD &= ~(1 << PINA1); PORTD &= ~(1 << PINA0);
#define LEVEL_BLACK PORTD &= ~(1 << PINA1); PORTD |= 1 << PINA0;
#define LEVEL_GRAY PORTD |= 1 << PINA1; PORTD &= ~(1 << PINA0);
#define LEVEL_WHITE PORTD |= 1 << PINA1; PORTD |= 1 << PINA0;
///////////////////////////////////////////////////////////////////////////////////////

///////////////////////////////////////////////////////////////////////////////////////
inline void vsync_pulse()
{
LEVEL_SYNC;
_delay_us(30);
LEVEL_BLACK;
_delay_us(2);
}

///////////////////////////////////////////////////////////////////////////////////////
inline void equal_pulse()
{
LEVEL_SYNC;
_delay_us(2);
LEVEL_BLACK;
_delay_us(30);
}
///////////////////////////////////////////////////////////////////////////////////////
inline void hsync_pulse()
{
LEVEL_BLACK;
_delay_us(2); //2.2us
LEVEL_SYNC;
_delay_us(5); //4.6us
LEVEL_BLACK;
_delay_us(7); //6.9us
}

///////////////////////////////////////////////////////////////////////////////////////
int main()
{
register unsigned int line;

/* NOTE THAT THE SIGNAL GENERATED BY THIS PROGRAM HAS A NOT VERY ACCURATE TIMING SO
IT IS POSSIBLE THAT THE IMAGE BLINKS ON YOUR TV SCREEN OR DOESN'T SHOW AT ALL,
THIS PROGRAM WRITTEN IN C (INSTEAD OF ASSEMBLER) IS ONLY A PROOF OF CONCEPT */

line = 0;
DDRD = 0xFF; // PORTD, all pins are outputs

while(1)
{

if (line == 313)
{
line = 1;
}
else
{
line++;
}


switch(line)
{
case 1:
case 2:
vsync_pulse();
vsync_pulse();
break;

case 3:
vsync_pulse();
equal_pulse();
break;

case 4:
case 5:
case 310:
case 311:
case 312:
equal_pulse();
equal_pulse();
break;

default:
// Image scanline (not a sync line)

hsync_pulse(); // Horizontal Sync, lenght = 14us

LEVEL_GRAY;
_delay_us(8);
LEVEL_BLACK;
_delay_us(14);
LEVEL_WHITE;
_delay_us(8);
LEVEL_BLACK;
_delay_us(14);
LEVEL_GRAY;
_delay_us(6);
//50us in total
}
}
}

Useful comment + 0 | Useless comment - 0
Tuesday, October 15, 17:47:17 2019 | post link    
Posts: 51
Name: Кирилл Лукьянов
Константин, Вадим и вновь спасибо за советы.

Я решил первым делом попробовать вывести композитный сигнал, чтобы начать с малого.
Картинку я получил (https://youtu.be/Af9xZeLnXhE).

Теперь надо искать проблему, т.к. я либо налажал с доработками, либо где-то плохой контакт вероятнее всего на счетчиках. Картинка периодически срывается во второй и третьей части изображения, а так же есть пиксельный дребезг, вроде бы видел уже такую проблему где-то на форумах =).

Отдельное спасибо за идею с Arduino. Действительно надо попробовать сформировать нужный сигнал программно и убедится окончательно, что RGB есть или его нет =).

Useful comment + 0 | Useless comment - 0
Tuesday, October 15, 23:48:05 2019 | post link    
Кирилл Лукьянов
Posts: 7
Name: Роман E-mail: Kr1033eu1@inbox.ru
Доброго времени суток, господа и товарищи форумчане! Подскажите, кто-нибудь покупал или собирал контроллер кравиатуры по Камилю Каримову? Плата называется ZXMC1 или ZXMC2 , две версии с небольшими отличиями? Если есть такие поделитесь опытом. Плату я собрал, прошил и контроллер и микросхему ATF16V8, подключил. Привключении с подключенной платой на экране 4 вертикальные полосы, две черные две белые. Без платы работает все штатно. Помимо собран контроллер дисковода С48 и подключен, работает как с дисководом так и с USB адаптером без проблем. За ранее благодарю за помощь.
Useful comment + 0 | Useless comment - 0
Thursday, October 17, 02:21:48 2019 | post link    

<<<< 16 15 14 13 12 11 10 9 8 7 6 5 >>>>

Download all comments!

Hits: 1,146,999

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU