CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Name: Константин Айги (CXEMATEKA.RU)
Toboe, да, в следующем видео будет больше теории. Уже приступаю к монтажу видеоролика )

Alex, если это Пентагон-128, то нужно смотреть приходит ли 0 на 1-ю ногу D36 (сигнал DOSEN) в момент входа в TR-DOS. Если сигнал в момент входа формируется, тогда надо проверять ПЗУ. Возможно, не в ту страницу прошит TR-DOS или сама микросхема сбойная.

Если же сигнал DOSEN не формируется, то нужно проверять цепочку: D75 вывод 8, D77 вывод 11, D76 вывод 9, D83 вывод 11. Просто в каждом случае смотрим, есть ли движение на соотв. выводах в момент входа в TR-DOS.

Useful comment + 0 | Useless comment - 0
Thursday, February 23, 15:20:55 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Barmaley
Замечательный ролик. Вспомнил детство золотое. Сам когда-то эти девайсы паял.
Несколько лет назад было желание эту плату доработать и зделать новую разводку
под 128кб, муз проц и контроллер дисковода, чтоб все было на одной плате без
навесного монтажа. Даже пару раз принимался за работу, но потом бросал, бо
баловство все это когда ноут на столе и смарт в кармане.
Тут, пожалуй, есть только один плюс. На примере сборки научить и заинтересовать
молодежь. Мне это в своё время очень помогло.
И вопрос по видео. Почему компаратор СА3 на входе, а не ЛН1 ?
Я помню, что в последних клонах вход на ЛН1 постоянно делали.

Useful comment + 0 | Useless comment - 0
Friday, February 24, 02:16:57 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Barmaley, опытным путем разработчики в то время пришли к использованию двух вариантов схемы узла чтения с магнитофона: вариант на 554СА3 (использовалась в поздних «Ленинградах», «Композитах») и вариант на КМОП микросхеме 561ЛН2 (Пентагон, Profi, Scorpion).

Какая схема лучше, спорят до сих пор ) По-моему обе нормально справляются со своей задачей. Вариант на ЛН2 получил большее распространение, т.к. проще немного.

Useful comment + 1 | Useless comment - 0
Friday, February 24, 08:03:31 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Alex Babkin
Константин, приветствую. По не работающему переходу в DOS: плата "Пентагон-128" в чистом ее виде. Сигнал /DOSEN на 1 выводе D36 все время висит в лог.1, на 8 выводе D75 аналогично. То же и в отношении D76, D77, D83. На плате в свое время делалась доработка для выхода в 0-ю область ПЗУ. Сейчас доработка убрана, вся схема возвращена к исходной.
Useful comment + 0 | Useless comment - 0
Friday, February 24, 09:27:05 2017 | post link    
Alex Babkin
Posts: 10
Name: Константин Айги (CXEMATEKA.RU)
Alex, я бы тут посоветовал проверить внимательно все входящие сигналы на D75. А прежде всего вывод 11 – в режиме 48k там должна быть единица, иначе вход в TR-DOS будет заблокирован. На остальных входах должны быть хорошо различимые адресные сигналы (на 12-й ноге A9 инвертированный, на 6-й A14/A15 смешанные). Если все сигналы присутствуют, а импульс при входе в TR-DOS на 8-й ноге D75 не формируется, то, скорее всего, микросхема неисправна или просто не запитана )
Useful comment + 1 | Useless comment - 0
Friday, February 24, 11:28:12 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Alex Babkin
Надо сказать, что на 6 ногу сигнал приходит постоянно, а она соединена с 10 ногой дд84 (лн1). На 11 ноге дд84 сидит лог.1, на 10 ноге тоже лог. 1. Вывод: либо КЗ на какую-нибудь линию, либо она вышла из строя.
Useful comment + 0 | Useless comment - 0
Friday, February 24, 16:25:34 2017 | post link    
Alex Babkin
Posts: 10
Name: Николай
лет двадцать тому назад собрал штук 7 таких, и ленинград и краснодар, и с сопроцессором звука и с контроллером дисковода при том добился не работы не только на 5 дюймовом но и на 3-х дюймовом (там секрет заключался в заклейке окошка на диске), где то в гараже валяется почти целый правда чуток провода и переключатели вырваны.
Useful comment + 1 | Useless comment - 0
Friday, February 24, 18:02:14 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Николай, есть такое дело ) Для нормальной работы с 3-х дюймовыми дискетами, нужно на них заклеивать окошко, определяющее плотность записи, т.к. контроллер на ВГ93 не работает с дисками объёмом более 720 кб.

Alex, соглашусь, очевидно, что-то не так в районе вывода 10 D84. Одновременно на входе и выходе элемента ЛН1 никак не может быть логической единицы )

Useful comment + 1 | Useless comment - 0
Saturday, February 25, 02:33:57 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
не давно прислали по почте ВЕСТА ИК-30 некоторые провода оторванные,восстанавливаю потихоньку,у меня в 12 лет был спектрум :) играл на цветном ламповом телевизоре,подпаивал сам RGB и не только себе но и друзьям,весту хочу подключить к маленькому телеку к скарту,Константин не подскажете как правильно это сделать?
Useful comment + 0 | Useless comment - 0
Thursday, March 2, 20:56:37 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр, я по этой схеме к SCARTу различные zx-клоны подключаю:


Useful comment + 2 | Useless comment - 0
Friday, March 3, 06:09:07 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
Спасибо Константин! я кстати вчера порылся в нете по этой теме и тоже нашел эту схемку,но были все равно сомнения а теперь нет :)сегодня куплю скарт и спаяю,есть вопросы: файлы игр в каком формате сдесь? хочу попробывать со смартфона залить,и как сюда вставлять фотки?
Useful comment + 0 | Useless comment - 0
Friday, March 3, 10:41:15 2017 | post link    
Александр
Posts: 18
Name: Александр
спаял я провод по этой схеме,подключил к спектруму,вроде виднеются какие то полоски сквозь рябь и тишина,на выходе с платы у меня RGBUSZ тоесть U видео,Z звук ну остальные понятно,я попробывал подсоединил с выхода спека U на вход видео телека (кстати телевизор рубин 37м10-2) то тоже рябь и еле видны полоски но нажимаю на сброс и появляется черный квадрат от верха до низа экрана ближе к левому краю,пока не пойму в чем проблема!?
Useful comment + 0 | Useless comment - 0
Friday, March 3, 23:47:50 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр, ВЕСТА ИК-30, судя по всему, это тот же «Ленинград». В этом случае, возможно, потребуется сделать некоторые доработки, чтобы привести видеовыход системы к стандарту.

Первое на что надо обратить внимание, это частота кварца. Если кварц ровно 14 МГц, то нужно проверить, правильно ли настроен счетчик D4. На этой схеме показано, как должны быть подключены входы счетчика (нормализация строчной развертки):
http://www.cxemateka.ru/v1/Other.png

Выводы 1,15,9 на землю. Вывод 10 к +5В. Вывод 12 на землю через конденсатор 200 пФ.

Подробнее об этом можно почитать тут:
http://zxbyte.ru/leningrad_upgrade.htm#2

И второе. В видеосигнале «Ленинграда» отсутствует привязка к уровню черного. Не всякий телевизор примет такой сигнал. Как привязку ввести, подробно рассказано тут:
http://zxbyte.ru/leningrad_video_out.htm

«DIZZY 5» выше в форматах TAP, HOBETA, SCL; игра «Сеймур на Диком Западе» только в SCL.

Об этих форматах подробнее:
http://speccy.info/TAP
http://speccy.info/SCL
http://speccy.info/Hobeta

А картинки здесь можно вставлять, используя соотв. HTML тэг (<img src=ссылка_на_картинку>)

Useful comment + 1 | Useless comment - 0
Saturday, March 4, 11:52:26 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
Да это ленинград, кварц на 14мГц,еще проблема нет звука это и прошлый хозяин говорил
пока вот такая стадия, это уже через скарт
20170304_085940.jpg

а это если нажать сброс
20170304_090035.jpg

Useful comment + 0 | Useless comment - 0
Saturday, March 4, 12:20:27 2017 | post link    
Александр
Posts: 18
Name: Александр
сделал доработки,генератор отказывается работать когда к 3 4 ноге лн1 припаиваю резистор 470 Ом убираю его работает
Useful comment + 0 | Useless comment - 0
Saturday, March 4, 20:56:49 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр, нужно мультиметром посмотреть, какое сопротивление между 1-й и 2-й ногой D1, а также между 3-й и 4-й. У меня ровно 470 Ом в обоих случаях. Кроме того, на схеме «Весты» может быть установлен конденсатор C21 между первыми двумя выводами D1. При установке второго резистора, этот конденсатор не нужен.

А вообще, эту доработку можно в самый последний момент попробовать сделать. В моем случае было видно на осциллограмме, что синусоида на выходе тактового генератора неправильной формы. Все, в общем, и так работало, но были периодические мелкие подергивания картинки. После этой доработки все нормализовалось.

Useful comment + 1 | Useless comment - 0
Sunday, March 5, 02:38:30 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
на схеме есть конденсатор а на моей плате нет,кстати я попробывал просто накинул конденсатор как в схеме на 1ю и 2ю ногу,до 15 пик работает генератор а больше отказывается,но это без резистора на 3-4 ногах,ладно это потом надо сначала картинку вывести,поменял вчера тм9 погоды это не сделало,приду с работы опять буду искать,еще осцилограф у меня только до мегагерца,хамелеон который:) и частоту санва меряет до 1мегагерца,приходится витьком мерить тот до 10мегагерц,я там кстати заявку в друзья послал в контакте:)
Useful comment + 0 | Useless comment - 0
Sunday, March 5, 09:17:11 2017 | post link    
Александр
Posts: 18
Name: Александр
Нашёл у друга в магазине радиодеталей вот такую плату Ленинграда-48к: http://pixs.ru/showimage/DSCN1472JP_8345655_25404378.jpg
Сейчас сижу, восстанавливаю, согласно видео, за исключением одного - я самодельную клавиатурку для него сделаю вместо контроллера - благо шлейф из платы торчит в аккурат припаянный к нужным выводам)) И ещё хотелось бы узнать про корректировку платы под установку 27128 или 27512 - какие именно дорожки надо перерезать?? На видео просто плоховато видно(

Useful comment + 0 | Useless comment - 0
Monday, March 6, 12:21:18 2017 | post link    
Александр
Posts: 121
Name: Александр
И ещё - для всех ли блокировочных конденсаторов нужна ёмкость именно 0,1 мкФ??? Или можно какую-нибудь другую поставить?
Useful comment + 0 | Useless comment - 0
Monday, March 6, 17:20:08 2017 | post link    
Александр
Posts: 121
Name: Константин Айги (CXEMATEKA.RU)
Александр (EJIEKTPuK95), вот на этой схеме показано, как устанавливать 27C512:
http://www.cxemateka.ru/v1/Other.png

Новое ПЗУ ставим вместо D29 (та панелька, что ближе к процессору). Для 27C128 просто отрезаем 20-й вывод от A13 и заземляем, а вывод 26 соединяем с выводом A13 процессора.

Блокировочные конденсаторы обычно ставят в пределах 47 нФ – 100 нФ (0,1 мкФ).

Useful comment + 2 | Useless comment - 0
Tuesday, March 7, 06:01:42 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
Спасибо за помощь. И ещё один вопросик-по кварцу. Можно в эту схему поставить на 14,3 МГц?? Или надо искать ровно на 14 МГц? Они просто очень редкие.
Useful comment + 0 | Useless comment - 0
Tuesday, March 7, 15:11:01 2017 | post link    
Александр
Posts: 121
Name: Александр
по кварцам посмостри пост от 4 марта
Useful comment + 0 | Useless comment - 0
Tuesday, March 7, 20:03:42 2017 | post link    
Александр
Posts: 18
Name: Александр
Константин привет! вот что то наладилось
20170307_225233.jpg
20170307_225321.jpg
20170307_220550.jpg
это 3 стадии без проца и озу, с процем и с процем и озу, подскажи пожалуйста что дальше делать?

Useful comment + 0 | Useless comment - 0
Tuesday, March 7, 23:02:39 2017 | post link    
Александр
Posts: 18
Name: Александр
сменил D13 теперь такая картинка
20170308_090545.jpg
вроде Неисчезающий чёрный прямоугольник плохой контакт в 1й панели пзу или неисправность пзу а у меня и подкинуть то нечего

Useful comment + 0 | Useless comment - 0
Wednesday, March 8, 09:17:02 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр (EJIEKTPuK95), про установку разных кварцев можно почитать тут:
http://zxbyte.ru/leningrad_upgrade.htm#2

В принципе, можно и без всяких переделок поставить кварц на 14,3 МГц вместо 14-ти, но тогда нужно быть готовым к возможным проблемам с изображением. В большинстве случаев, заметных проблем возникнуть не должно.

Александр, судя по картинкам, проблемы с оперативной памятью. Тут было бы неплохо прогнать вот этот тест:
http://www.cxemateka.ru/v1/test48k_org.bin

Прошивка с этой программкой устанавливается вместо основного ПЗУ.

Useful comment + 1 | Useless comment - 0
Wednesday, March 8, 12:49:54 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
у меня стоит Т36РЕ1-040 тоесть мне нужно где то взять пзу перепрограммируемое,залить в него прошивку и вставить в спек? какой программатор нужен чтоб заливать? у меня есть для PIC и AVR но скорее всего не пойдут,мож есть схемка?я соберу и через какую прогу заливать?
Useful comment + 0 | Useless comment - 0
Wednesday, March 8, 16:20:30 2017 | post link    
Александр
Posts: 18
Name: Andrey
Константин!
а как насчёт подключить переферийное оборудование?
например DMP 52

Useful comment + 0 | Useless comment - 0
Wednesday, March 8, 17:39:00 2017 | post link    
Posts: 1
Name: Константин Айги (CXEMATEKA.RU)
Александр, самый простой вариант, заменить ПЗУ на Flash память:
http://zx-pk.ru/threads/12141-zxkit-003-nabor-programmatorov-dlya-speccy2007.html?p=324586#post324586

Описание теста:
http://zx-pk.ru/threads/11486-faq-zheleznogo-razdela-(under-construction).html?p=397684#post397684

Andrey, если оборудование поддерживает интерфейс Centronics (LPT) или RS-232, то такую периферию можно будет подключить через ZX Lprint III:
http://speccy.info/ZX_Lprint

Useful comment + 1 | Useless comment - 0
Thursday, March 9, 03:36:49 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
наладил! дело было не в памяти а в D19 сменил и надпись появилась,но какая то смазанная как будто резкости нет,отпаял транзисторы из скарта оставив только резисторы на 75ом и стало все четко! затем не грузились игры,на входе 4 ноги са3 сигнал был а с 9той нет сменил са3 и начали грузится игры!
В цвете,со звуком,с устойчивой картинкой вообщем супер!
20170309_210434.jpg
20170309_130330.jpg
20170309_130222.jpg
20170309_130337.jpg

Useful comment + 0 | Useless comment - 0
Thursday, March 9, 23:42:16 2017 | post link    
Александр
Posts: 18
Name: Александр
только вот не пойму как установить конвертор tzx2wav открываю файл по ссылке,нажимаю ехе шник мелькает черный квадрат и ничего не происходит
http://www.worldofspectrum.org/utilities.html

Useful comment + 0 | Useless comment - 0
Thursday, March 9, 23:46:57 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр, поздравляю ;)

Я перевожу tap/tzx в wav этой утилитой:
http://www.worldofspectrum.org/pub/sinclair/tools/pc/tape2wav1.8.zip

Есть еще проигрыватель tap/tzx - "TeeZiX" для Андроид-устройств:
https://play.google.com/store/apps/details?id=dk.rift.tzxdroid

Useful comment + 1 | Useless comment - 0
Friday, March 10, 03:33:33 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
не понятно как ей пользоватся ?
Безымянный.png
Безымянный 2.png

Useful comment + 0 | Useless comment - 0
Friday, March 10, 20:24:15 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр, tap/tzx файл просто мышкой перетаскивается в это окно, а wav-файл появится в папке с исходным файлом.
Useful comment + 1 | Useless comment - 0
Friday, March 10, 21:46:26 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
получилоссь! только при установке этих игр 5шт пробовал установить пишет ошибка 10:4 10:8 10:3 не пойму в чем проблема? пробовал с 2х ноутов грузить и все одно,а выт ь=быстрые на раз грузятся
Useful comment + 0 | Useless comment - 0
Saturday, March 11, 10:43:23 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Александр, если на плате установлен родной узел чтения с магнитофона, то сбои объяснимы.

Тут два варианта, либо доработать родную "читалку", как тут показано:
http://zx-pk.ru/threads/22542-skhema-chteniya-s-magnitofona-na-544sa3.html?p=665866&viewfull=1#post665866

Либо собрать новую, например, по схеме приведенной выше (на К554СА3).

Useful comment + 2 | Useless comment - 0
Saturday, March 11, 11:47:22 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
собрал по схеме на к554са3 хотя у меня на ней и было собрано но другая схема маленько,не помогло
DIZZY 5». Русифицированная версия игры так и не грузится
20170311_224358.jpg
Кстати Константин а что дает увеличение памяти с 48 до 128 ?

Useful comment + 0 | Useless comment - 0
Saturday, March 11, 22:48:10 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Уровень сигнала имеет значение, можно попробовать поиграть с громкостью входного сигнала.

Да, еще есть смысл проверить мультиметром напряжение на разных участках платы, везде напряжение должно быть не менее 5В. Также стоит обратить внимание на количество блокировочных конденсаторов на плате, если их недостаточно, то вот такие мелкие сбои будут присутствовать.

Если память увеличить до 128k, то можно будет значительно больше программ запускать. Например, версия игры «DIZZY 5» для 128k имеет расширенную графику и дополнительное музыкальное сопровождение (доступно при наличии музыкального сопроцессора).

Useful comment + 2 | Useless comment - 0
Sunday, March 12, 00:25:03 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
у меня собран на микросхемах т34 и память и проц
возможно поменять память на ру7 или проще вторым этажом еще 8 микросхем ру 5 напаять? и нужна ли новая прошивка в этом случае?

Useful comment + 0 | Useless comment - 0
Sunday, March 12, 09:13:32 2017 | post link    
Александр
Posts: 18
Name: Константин Айги (CXEMATEKA.RU)
Самый простой вариант ру5 на ру7 поменять, а лучше сразу на импортные аналоги (41256/41257 DRAM или MB81256/MB81257 DRAM). Это самый быстрый способ добиться стабильной работы 128k памяти.

С ру5-ми будет больше возни и наладка несколько сложнее.

Useful comment + 1 | Useless comment - 0
Sunday, March 12, 11:12:57 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Александр
а как на D4 подсоединить перемычки? посмотрел по схеме, 15, 14, 1 и 9 выводы соединяются вместе и подключаются к 8 выводу (масса). Но 10 вывод под самой микросхемой подключён к 9 выводу, т.е. тоже к массе. По схеме указано, что его нужно подключить к +5 вольтам, а в видео видно, что вы его припаяли на 16 вывод микросхемы. Его надо отсоединять от платы или нет?
Useful comment + 0 | Useless comment - 0
Tuesday, March 14, 02:05:53 2017 | post link    
Александр
Posts: 121

<<<< 10 9 8 7 6 5 4 3 2 1 0 >>>>

Download all comments!

Hits: 1,146,709

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU