CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 14 13 12 11 10 9 8 7 6 5 4 3 >>>>

Name: Александр
Перепаял... на 1 вывод ED7 у меня идёт выбор TR-DOS инвертированный с D1-10( в моём случае - с ED8-6, на 2 идёт выбор пзу128к с ED1-12 и уходит на RS в контроллер, с 3-го уходит на 27 ногу ПЗУ. Если от 2-го вывода ED7 отпаять соединение ED1-12 и RS, оставив эти выводы соединёнными в воздухе, то при старте комп запускает 48к Basic, а не 128. Если оставить, комп запускается, как обычно, но TR-DOS опять "глючит" с запуском дискет. Провода с третьего элемента ED7 я перепаял на освободившийся второй, думая, что третий элемент неисправен - всё равно "глючит". Даже жёлтый провод 12 В подпаивал напрямую к 40 ноге ВГ93, всё равно - нет запуска. ( Эх, хоть бы показал сообщение "No disc", а так вообще ничего не показывает(
Useful comment + 0 | Useless comment - 0
Wednesday, April 11, 23:40:59 2018 | post link    
Александр
Posts: 121
Name: Александр
ВНЕЗАПНО!!!.... Вышел из "глюка", показал сообщение No disc. Ввожу команду RUN, 2 секунды, опять No disc. Вроде бы заработал, думаю... Нажал Reset, выбираю TR-DOS снова, надписи о версии TR-DOS внезапно начинают отображаться не сверху, а снизу, и клавиатура перестаёт работать на ввод. Выключаю комп, включаю снова, Выбираю TR-DOS, надписи снова вверху, как обычно. Ввожу команду RUN - "глюк". Жму Break, ввожу FORMAT "A" - опять "глюк". КАК ЭТО НАЗЫВАЕТСЯ??????
Useful comment + 0 | Useless comment - 0
Thursday, April 12, 00:59:52 2018 | post link    
Александр
Posts: 121
Name: Александр
Ещё я обратил внимание на выход сигнала IORQGE с контроллера. Он сделан через перемычку. В положении 3-4 (по схеме) сигнал IORQGE формируется из сигнала DOS через повторитель. На управляющий вход подаётся сам DOS, вход сигнала подведен к 5 вольтам, т.е. при лог. 0 на DOS повторитель выдаёт лог.1, а при лог.1 на DOS - состояние Z, т.е. отключается. Могу ли я использовать этот сигнал для блокировки IORQ на элементе ED7.3, а также подать на первый вход элемента ED7.1???
Useful comment + 0 | Useless comment - 0
Thursday, April 12, 17:42:54 2018 | post link    
Александр
Posts: 121
Name: Александр
Проблема частично решилась. Заменил триггер DD11 на плате контроллера - с какого-то перепугу эта микросхема оказалась нерабочая. Теперь при попытке ввести любую команду он пишет "No disk" за исключением команд A*"b" и BREAK. При этом дискета в дисковод вставлена, окно плотности заклеена. При вводе RUN шпиндель начинает вращаться, но головки не двигаются.
Useful comment + 0 | Useless comment - 0
Saturday, April 14, 23:54:21 2018 | post link    
Александр
Posts: 121
Name: Brothrr_Thief
Роман, а Вы сможете мне приблизительно накидать, какие надо сделать доработки. Не стартует генератор частоты, на осциллографе по нулям, так, крайний шум.
Useful comment + 0 | Useless comment - 0
Sunday, April 15, 12:15:57 2018 | post link    
Name: Сергий
Здравствуйте подскажите пожалуйста новичку EPROM 27C128 (для версии 48k) как подключать, у меня Ленинград 2 и нужно-ли какие-то перемычки ставить
Useful comment + 0 | Useless comment - 0
Monday, April 16, 17:08:07 2018 | post link    
Name: Александр
[b]Brother_Thief[/b], если на осциллографе нет синусоиды, скорее всего не приходит питание на микросхемы D1, D2 и D11 - они участвуют в формировании сигнала частоты. Если питание приходит, значит микросхемы нерабочие. Меняйте их все по очереди. Если все замените, но всё равно сигнала не будет, значит нерабочий кварц, его тоже меняйте. В процессе замены микросхем внимательно осмотрите плату на предмет микротрещин и разрывов в дорожках. И, если конечно, вдруг сигнал появится, вот одна из доработок (на рис.2): https://yadi.sk/i/fhPdPZzf3UURym
У меня комп с ней моментально заработал и без нареканий))
[b]Сергий[/b]вот расположение выводов микросхем памяти EEPROM: https://cdn.hackaday.io/images/original/1153191506083027242.gif

Useful comment + 0 | Useless comment - 0
Tuesday, April 17, 06:56:55 2018 | post link    
Александр
Posts: 121
Name: Роман
Да, все правильно сказано Александром, касаемо наладки D1, D2. А что за осциллограф? Точно рабочий??😏
Useful comment + 0 | Useless comment - 0
Tuesday, April 17, 15:51:31 2018 | post link    
Роман
Posts: 44
Name: Вовчик
Здравствуйте, скажите какой собрать самый простой программатор ПЗУ, чтоб было минимум деталей , и минимум мороки при настройке .Заранее огромное спасибо.
Useful comment + 0 | Useless comment - 0
Wednesday, April 18, 13:04:08 2018 | post link    
Name: Александр
Ребят, подскажите программу, которая пишет TRD на дискеты, используя USB-дисковод, пожалуйста. И ещё кое-что - больно уж длинноватый у меня сигнал INT на компе:[IMG]http://images.vfl.ru/ii/1524078138/3bc84436/21425125_m.jpg[/IMG]
[IMG]http://images.vfl.ru/ii/1524078139/f6ac916d/21425126_m.jpg[/IMG]
Как это исправить?????

Useful comment + 0 | Useless comment - 0
Wednesday, April 18, 22:04:34 2018 | post link    
Александр
Posts: 121
Name: Вовчик
Ребят, подскажите Ленинград-2 выдаёт ошибку озу 1й степени ошибка микросхемы 2й, 1й и тд
Useful comment + 0 | Useless comment - 0
Friday, April 20, 17:27:28 2018 | post link    
Name: Александр
Приделал к своему компу порт атрибутов #FF на микросхеме ИР23. В качестве схемы подключения я использовал ту, которая была в схеме компа Leningrad-2012. Тест 4.30 проходит без ошибок, но на экране немного мелькают полосы, когда он начинает мерять длительность INT. Как это исправить???
Useful comment + 0 | Useless comment - 0
Friday, April 20, 23:46:20 2018 | post link    
Александр
Posts: 121
Name: Александр
Проблему с атрибутами решил, подключив ИР22 вместо ИР23, предварительно подав сигнал H2 на 11 ногу напрямую, без инвертора.
Useful comment + 0 | Useless comment - 0
Saturday, April 21, 00:55:06 2018 | post link    
Александр
Posts: 121
Name: Александр
добавил в комп ещё парочку доработок - блокировка IORQ через M1(схема взята из теста IntTest в опсании к тесту) и формирование нового сигнала WAIT для контроллера дисковода NEMO-FDC в компе Leningrad-2012 (схема-http://zx-pk.ru/threads/17338-leningrad-2012.html?p=515053#post515052). TR-DOS стала более стабильно работать,без треугольников, произвольного набора команд и прочих артефактов, но ещё пока упрямо отказывается форматировать дискету с заклеенным окошком, выдавая мне очередное "No disk". Как это исправить?
Ещё нужно мне немного укоротить сигнал INT. Как это сделать, подскажите пожалуйста.
P.S. Тест-прошивка 128к от Хахонова (RATCOPY) всё-таки оказалась рабочая - нужно подавать питание только на 24 ногу, а 21 - либо оставить в воздухе, либо загнуть, чтобы входила в панель, как все остальные))

Useful comment + 0 | Useless comment - 0
Saturday, April 21, 22:11:28 2018 | post link    
Александр
Posts: 121
Name: Александр
УРРРААААА!!! Дисковод наконец-то заработал! Я применил в нём вот эту доработку:http://xepb.org/robotron/gifs/drives/PICT1616.JPG, где надо 34 контакт разъёма резать от платы и перемычку HDR запаивать на плате. Кроме неё я соединил 10, 12 и 14 выводы на самом контроллере.
Ввожу RUN, пишет Error track 0, sector 9. Retry, abort, ignore? Нажал A, ввёл новую команду FORMAT"A:Gamezzz". Пошёл потихоньку форматировать. Я где-то читал на форуме zx-pk, что форматирование длится 10 минут, не меньше, так что остаётся только ждать)))

Useful comment + 1 | Useless comment - 0
Saturday, April 21, 22:35:04 2018 | post link    
Александр
Posts: 121
Name: Александр
Возвращаясь к вышесказанному... Ребят, подскажите, пожалуйста программу, которая может записывать дискеты для TR-DOS на PC, используя при этом внешний USB дисковод. Пытался использовать ZX Disk Studio - бесполезно(( Она не "видит" моего дисковода.
Useful comment + 0 | Useless comment - 0
Wednesday, April 25, 00:06:48 2018 | post link    
Александр
Posts: 121
Name: Mastdaj
Доброго время суток. Спектрум Львов. Работал, и вдруг пошли полосы вертикальные. Тстовая пзу проверяет и ошибок ненаходит. Подскажите куда сотреть.
Useful comment + 0 | Useless comment - 0
Wednesday, May 2, 10:28:03 2018 | post link    
Posts: 1
Name: Александр
Добрый вечер. Образовались новые проблемки - записал TRD файл на дискету с помощью ZX Disk Studio(лучше не спрашивайте, как я это слелал), вставляю дискету, ввожу RUN, дисковод работает несколько секунд, после чего выдаёт мне сообщение Error track 0 sector 9. Как это исправить?
P.S. Ещё порт атрибутов немного глючит, особенно когда включаешь комп, видны небольшие дёрганья пикселей на экране. Потом, где-то через минуту, всё проходит. Как это исправить?

Useful comment + 0 | Useless comment - 0
Thursday, May 3, 22:02:03 2018 | post link    
Александр
Posts: 121
Name: Павел
Подскажите, пожалуйста - какие должны быть полоски на бордюре? У меня просто имеется три версии спектрума Ленинграда разных сборок - на всех трех бордюр разный при тесте.
Useful comment + 0 | Useless comment - 0
Friday, May 4, 23:27:37 2018 | post link    
Posts: 1
Name: Александр
Ещё одна проблемка - дисковод форматирует очень медленно - где-то за полтора часа. А в конце форматирования выдаёт всё то же сообщение Disc error track 0 sector 9. Что это может быть?
Useful comment + 0 | Useless comment - 0
Saturday, May 5, 19:16:20 2018 | post link    
Александр
Posts: 121
Name: Александр
Немного разобрался - в самом дисководе задействованы выводы 12 и 16. Соответственно 12 - это выбор привода, а 16 - это запуск двигателя. При этом у меня 2 проблемы - перевёрнутый шлейф и оставшиеся 10 и 14 выводы не задействованы. Перерезал дорожки между микросхемой и 12 с 16 выводами. Кинул ту, что шла с 16 вывода, на 10 вывод, а ту, что шла с 12 - можно кинуть как на 14, так и на 16, причём, кинув на 14, нужно будет менять букву в TR-DOs, иначе выдаёт сообщение No disk. Но одна проблема всё же осталась - очень долго форматирует дискету, а в конце всё равно выдаёт ошибку с 9 сектором 0-й дорожки. Помогите мне это исправить.
Useful comment + 0 | Useless comment - 0
Saturday, May 5, 23:07:54 2018 | post link    
Александр
Posts: 121
Name: Андрей E-mail: Alexflexo@ukr.net
Уважаемый Константин Айги, спасибо вам за ваши труды. Благодаря вам я решился и исправился перед своим бесцельно угробленным в детстве Спеком, в итоге благополучно сданым на КМки, купив нерабочий Ленин-1, оживив его, исправив все его недоработки, расширив память и добавив AY. Теперь вопрос по существу. Какой вы применили контроллер дисковода- самодельный или купленный? Мне бы инфу по этому моменту, и ссылки на схемы подключения а то сынишке не очень понравилось играть в mighty final fight с долгими дозагрузками со смартфона. Я думаю этот вопрос тревожит не только меня и другие спектрумисты будут ради с ней ознакомиться. Только просьба что-бы как у вас на страничке с доработками, что-бы не догадываться- я напортачил или схема неправильная. Когда я расширял память по вашему методу у меня светился белый квадрат на сером фоне. Но я наверняка знал, что у вас всё верно,по-этому за 5 мин нашёл не тот лог элемент в сигнале cas, ну потом уже пошёл тест и сообщил о неисправности озу в бутере по D7. Так что хотелось бы получить и инфу подобного характера, но по контроллеру дисковода. С уважением, Андрей.
Useful comment + 0 | Useless comment - 0
Wednesday, May 23, 20:19:27 2018 | post link    
Name: zamich
Добрый день Всем и вам Константин! Вот собрал себе Ленинград 48к работает нормально. Можно ли к нему подключить контроллер дисковода без доработок увеличения памяти и ещё, если сделать доработку увеличения памяти а прошивку оставить BASIC 48 (пока нет ПЗУ 128к) будет ли это все работать? Заранее всем спасибо!
Useful comment + 0 | Useless comment - 0
Thursday, May 24, 16:51:37 2018 | post link    
Posts: 8
Name: snd
Схема «читалки» с магнитофона на К554СА3

Слышал, что схема эта вроде как стабильно все грузит, и чуть ли не во все клоны ее рекомендовали пихать.
НО, вот вопрос - а заработает ли данная схема с клонами на Т34ВГ1 (1515ХМ1-216, ULA-216) ?

Useful comment + 0 | Useless comment - 0
Thursday, June 7, 15:55:21 2018 | post link    
Name: iegova
Читалка на К554СА3 у меня не завелась, хотя несколько микросхем попробовал. А вот по схеме от пентагон-48 на К561ЛН2 завелся с первого раза. Гружусь только с мобильника, полет нормальный
Useful comment + 0 | Useless comment - 0
Thursday, June 7, 20:13:42 2018 | post link    
Posts: 13
Name: snd
на ЛН2 - это фактически "стандарт", во многих схемах используется, в т.ч. и в схемах компов на УЛА216.
Но вот на СА3 помоему использовалась только в Балтике. Собственно и интересует:
1. какие плюсы у схемы на са3 (слышал, что они есть по сравнению со схемами на ЛН2)
2. заведется ли на клоне с т34вг1 (ула-216)
3. о том что не завелась на Ленине1, спасибо за инфу, кстати)

Useful comment + 0 | Useless comment - 0
Thursday, June 7, 22:12:48 2018 | post link    
Name: Александр
Эх, господа, что ЛН2, что СА3... Каждый использует то, что ему по душе. На ЛН2 проще собрать, но у неё больше недостатков, чем у СА3. Сам же я скоро куплю себе эмулятор дисковода и мне ни ЛН2, ни СА3 будет не нужна ;)
Useful comment + 0 | Useless comment - 0
Tuesday, July 24, 16:27:02 2018 | post link    
Александр
Posts: 121
Name: Александр
Кстати, я решил проблему с помехами - у меня просто проседало напряжение на плате. Я подпаял провод +5В ближе к центру платы, а провода с массой раскидал по краям, чтобы напруга от центра к краю растекалась. И никаких блокировочных кондёров не надо ставить;)
Useful comment + 0 | Useless comment - 0
Tuesday, July 24, 21:43:46 2018 | post link    
Александр
Posts: 121
Name: Александр
Проблема с дисководами почти решена. Мне удалось на компе записать несколько программ и запустить их на Спектруме. Но подводные камни всё же остались(( И один из них - повреждение 9 сектора в нулевой дорожке при многократных попытках запустить программу. Некоторые программы запускаются с первого раза, некоторые выдают ошибку с этим сектором. Коммандеры вообще нужно запускать нестандартно - вставил дискету с записанным Real Commander, к примеру, вводишь в TR-DOS "RUN", а он вместо того, чтобы открыть коммандер, просто обратно выводит тебе курсор, и всё. И приходится вводить "CAT", смотреть файлы, помеченные буквой "<B>" и запускать их. Сам файл "BOOT", как ни странно, помечен буквой "<C>", и при попытке его открыть командами "RUN" и ""GOTO"CODE" на экране появляется всякая ерундистика. Что это может быть?
Useful comment + 0 | Useless comment - 0
Friday, July 27, 14:02:24 2018 | post link    
Александр
Posts: 121
Name: Михаил E-mail: www129@mail.ru
Константил и другие участники, всем вам спасибо за Ваше существование!!! Мне это напомнило мои похождеия с Микрошей и Кворуом !!
А вы мастера можете делать другие схематехнические решения для других задачь в принципе, к Вам или к кому то из Вас? Когда будут новые видео на Ютубе?

Useful comment + 0 | Useless comment - 0
Sunday, July 29, 15:45:54 2018 | post link    
Name: Alex E-mail: alex_ym@mail.ru
Я просто ошарашен.... ностальгия нахлынула... Я этим занимался почти 30 лет назад. загружать 2мГц 8 разрядный комп типа Спектрум с гигарцового 64 битного восьмиядерного мобильника...
Useful comment + 0 | Useless comment - 0
Tuesday, July 31, 11:01:55 2018 | post link    
Name: Александр
Ещё немного думаю о том, как реализовать порт Кемпстона в "Ленинграде" и собрать свой джойстик. Ведь контакты должны быть замкнуты с массой и подключаться на размыкание, а размыкающих кнопок у меня нет, только замыкающие. Хотя, есть идея подключить их к массе через резисторы по 680 Ом каждый. Они установят на входах лог.0, что для всех входов с лог.0 соответствует подключённому джойстику, а стандартные замыкающие кнопки подключить между этими входами и +5В, чтобы из лог.0 делать лог.1, при этом не замыкая +5В и массу. Конечно, это всё только в теории... На практике думаю применить микросхемы ЛП8 - они способны выдавать состояние Z, т.е. отключаться, что будет прекрасно имитировать размыкание кнопки, ведь неизвестно, на что программы реагируют при нажатии кнопок на Кемпстон-джойстике - на переход в состояние Z или на установку лог.1?
Useful comment + 0 | Useless comment - 0
Wednesday, August 1, 15:20:07 2018 | post link    
Александр
Posts: 121
Name: Александр
Мой первый музыкальный трек, записанный при помощи Pro Tracker 3.72 - ZX Forever! Записывая его, я немного вдохновился музыкой Dubmood'a и одной темой из мегадемо The LYRAII:
1.https://yadi.sk/d/5DKc41Hj3a8xjn
2.https://yadi.sk/d/TYJAXJMW3a8zWe
В первой ссылке ZIP-архив с файлом-образом TR-DOS диска, где данная песня сохранена в 4-х специфичных файлах, открыть которые можно, только лишь загрузив Pro Tracker 3.72(П.С. Файл "P", т.е. "Pattern" можете не загружать, правильные паттерны записаны в файле "M"). Во второй ссылке - ZIP архив с записью через линейный вход ПК в форматах WAV и MP3. Короче, я потратил на это всё где-то около трёх недель, но так и не понял, ГДЕ В PRO TRACKER ПЕРЕКЛЮЧАЕТСЯ ГЕНЕРАЦИЯ С СИНУСОЙДЫ НА ПИЛООБРАЗНУЮ?????? Если кто имел с этой программой дело, пожалуйста, ответьте мне. Также моя благодарность Константину Айги за различные советы по доработке моего ZX. Благодаря его помощи я наконец-то стал полноценным членом общества спектрумистов;)

Useful comment + 0 | Useless comment - 0
Saturday, August 11, 22:06:28 2018 | post link    
Александр
Posts: 121
Name: Peter E-mail: peteclln@gmail.com
Извините, я не говорю ни слова о Русане, поэтому я надеюсь, что перевод Google соответствует задаче. Привет, я построил leningrad 48, спасибо в немалой части вашему видео и заметкам. Теперь я купил все детали и получил всю информацию для обновления 128k. Тем не менее, попробуйте, чтобы я не мог найти какую-либо информацию о контроллере диска или музыкальном сопроцессоре. Не могли бы вы указать мне в правильном направлении? Заранее спасибо.
Useful comment + 0 | Useless comment - 0
Friday, August 17, 10:46:17 2018 | post link    
Name: iegova
Я пользовался вот этой схемой расширения https://hsto.org/webt/pb/l6/ca/pbl6cabavkknlwvouz7nth8gclk.jpeg
В ней и подключение звукового чипа. Только мой вам совет используйте все таки микросхемы 1533\ALS серии, по крайней мере для D14,D34 и на схеме расширения DD7` это критично.

Useful comment + 0 | Useless comment - 0
Monday, August 20, 18:55:04 2018 | post link    
Posts: 13
Name: Харусима
Константин, помогите пожалуйста советом, как диагностировать плату Л1

На данный момент я безуспешно пытаюсь получить со Спектрума картинку. Теплого лампового ЭЛТ у меня нет, поэтому для вывода изображения c RGB на VGA я использую внешний тюнер AVerMedia AVerTV Box9 и PAL Coder.

У меня не получилось получить сигнал синхры с диода D4, плата вроде стартует. Периодически начинает пищать спикером, как если бы нажимались клавиши.

Подробные фото платы и лог действий у меня в блоге: http://hermitlair.ucoz.com/blog/2018-10-07-1042

Прямая ссылка на фото платы:
http://hermitlair.ucoz.com/_bl/10/01721252.jpg

Очень на Вас надеюсь...

Useful comment + 0 | Useless comment - 0
Sunday, October 7, 16:38:17 2018 | post link    
Харусима
Posts: 12
Name: Константин Айги (CXEMATEKA.RU)
Харусима, у «Ленинграда» без доработок, видеосигнал нестандартный. Нужно приводить его к стандарту иначе никак не выйдет получить картинку ни через PAL-кодер, ни через SCART-разъем.

Эта тема подробно раскрыта тут:
http://zxbyte.ru/leningrad_video_out.htm

Я как-то собирал такой вариант доработки:
http://zxbyte.ru/leningrad_video_out.htm#2

Все заработало с дополнительным конденсатором.

Useful comment + 1 | Useless comment - 0
Monday, October 8, 03:35:12 2018 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Харусима
Константин, спасибо. Придется пока покупать ЭЛТ. Вот бы вы сделали видео про такую переделку, чтобы было видно какой элемент куда паять. Я в схемах не умею разбираться, мне без подсказки такую переделку не осуществить.
Useful comment + 0 | Useless comment - 0
Tuesday, October 9, 00:44:00 2018 | post link    
Харусима
Posts: 12
Name: Константин Айги (CXEMATEKA.RU)
Вообще, я планирую сделать сюжет о подключении старых ПК к современным мониторам, ТВ и т.п. Эта тема действительно требует отдельного подробного разбора.
Useful comment + 0 | Useless comment - 0
Tuesday, October 9, 08:36:36 2018 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Харусима
Спасибо! будет интересно!

А я вчера купил ЭЛТ, но он тоже нуждается в помощи)

Качество картинки оставляет желать лучшего, но больше меня напрягает низкочастотный шум кинескопа, пульсирование и мигание картинки, и пробегающие по ней всполохи. Вдобавок картинка бледная, словно поддёрнутая дымкой. Глаза ну очень быстро начинают посылать друг-друга нахутор.

Подробный обзор: Монитор Электроника 32 ВТЦ 202
http://hermitlair.ucoz.com/blog/2018-10-09-1043

Useful comment + 0 | Useless comment - 0
Wednesday, October 10, 03:15:15 2018 | post link    
Харусима
Posts: 12

<<<< 14 13 12 11 10 9 8 7 6 5 4 3 >>>>

Download all comments!

Hits: 1,147,041

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU