CXEMATEKA.RU, © 2016 | Sign Up! | По-русски

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Name: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Useful post + 14 | Useless post - 0
Friday, December 9, 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Name: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Useful comment + 4 | Useless comment - 0
Monday, January 2, 21:31:09 2017 | post link    

<<<< 13 12 11 10 9 8 7 6 5 4 3 2 >>>>

Name: Александр
И ещё - сейчас думаю, каким методом его лучше подключить - через "шлейф" или "картриджным" методом через ОНП-КС-23???
Useful comment + 0 | Useless comment - 0
Wednesday, November 29, 01:10:06 2017 | post link    
Александр
Posts: 121
Name: Константин Айги (CXEMATEKA.RU)
Randomize_Usr, режим записи на контроллере по многим причинам может не работать. Для начала, стоит проверить частоту на 24 ноге ВГ93. Частота должна быть равна 1 МГц. Штука в том, что ВГ93 работает и на удвоенной частоте, но только в режиме чтения. Кстати, существует доработка – турбо ВГ93. В режиме турбо, дисковод будет работать заметно быстрее и тише. Тут подробнее об этом:
https://zxpress.ru/article.php?id=4543

Также причиной проблем может быть глючная ВГ93, решается заменой микрухи.

Вот эту тему еще рекомендую изучить:
http://zx-pk.ru/threads/15046-podklyuchenie-3-5-floppy-k-pentagonu.html

Контроллер все-таки рассчитан на дисководы 5.25, а у них есть некоторые отличия от 3.5 дисководов, в частности присутствует съёмная резисторная сборка на входе – вынимается при установке дисковода вторым (B), третьим (C), четвертым (D):
http://zx-pk.ru/threads/15046-podklyuchenie-3-5-floppy-k-pentagonu.html?p=357839&viewfull=1#post357839

Что касается проблем с цветностью. Тут видимо что-то с сигналом BRIGHT. Вот недавно один товарищ подобную проблему решил так: "в цепях R+D1, R+D2, R+D3 понадобилось большее сопротивление. Брайт черный отображался как фиолетовый".

Shram, ошибка порта конфигурации чаще всего связана с неисправностью или неверным подключением ТМ9.

156, готовый набор для сборки Ленинграда купить сейчас едва ли где-то возможно, но все необходимые компоненты в продаже есть. Полистайте эту тему, тут есть ссылки на то, где плату Ленинграда можно купить. Ну, а остальные компоненты – не дефицит.

Александр, да, выход AY с биперным иногда объединяют. Вот соотв. фрагмент из схемы известного клона Scorpion (сигнал AUDIO = бипер):



Ну, а периферию все-таки лучше подключать через слот разъемы, краевые разъемы. При подключении шлейфом неизбежно возникают лишние "наводки", поэтому обычно каждый сигнальный провод в шлейфе чередуют с землей. Но всё равно надежно впаянный разъем предпочтительнее шлейфа.

Useful comment + 1 | Useless comment - 0
Wednesday, November 29, 09:54:41 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Russell73
Привет всем. У меня вопрос. Имел ли дело кто нибудь с компьютером КР-05? Расположение и маркировка микросхем примерно такая же, как в Ленинграде 48к. Фото из сети- https://4.bp.blogspot.com/-ICXpyxI427c/WK8mudOAB7I/AAAAAAAACow/iFS_HXMDF_UfaNROSIYylMo-Q3rtv9MUwCLcB/s1600/20161116_171214.jpg

Применимы ли к нему доработки от Ленинграда 48к? (расширение памяти, установка муз сопроцессора и т.д.)

Useful comment + 0 | Useless comment - 0
Thursday, November 30, 05:43:16 2017 | post link    
Posts: 2
Name: 156
КР-05 "Руководство по эксплуатации с принципиалками" https://cloud.mail.ru/public/Aa3Q/DAR5dDDU7
Источник - http://zx-pk.ru/threads/28156-elektronika-kr-05-pomogite-razobratsya-s-nominalami.html
А подскажите насколько близок к оригинальной схеме "Ленинграда" - LENINGRAD-2012? ПЗУ там посовременний...

Useful comment + 1 | Useless comment - 0
Thursday, November 30, 07:12:34 2017 | post link    
Name: Константин Айги (CXEMATEKA.RU)
Russell73, КР-05 - легендарный клон с 8-мью 573РФ2 в качестве ПЗУ ) Крутая штука ;)

Да, все доработки к нему применимы. По схеме легко найти соответствия и нужные контакты для внедрения доработок. Вот, например, соответствия для увеличения памяти и коррекции дешифрации портов - http://www.cxemateka.ru/v1/128k.png

D16-10 (Ленинград) = DD18-10 (КР-05)
D16-13 (Ленинград) = DD18-13 (КР-05)

D21-1...D28-1 (Ленинград) = DD32-1...DD39-1 (КР-05)

D3-2 (Ленинград) = DD2-2 (КР-05)

D17-13 (Ленинград) = DD21-13 (КР-05)

D9-8 (Ленинград) = DD16-8 (КР-05)

D14-3 (Ленинград) = DD13-3 (КР-05)

D14-11 (Ленинград) = DD13-11 (КР-05)

D39-9 (Ленинград) = DD29-9 (КР-05)

D37-15 (Ленинград) = DD41-15 (КР-05)
D38-15 (Ленинград) = DD40-15 (КР-05)

156, LENINGRAD-2012 основан на классической схеме "Ленинграда" - клон с целым рядом полезных доработок.

Useful comment + 1 | Useless comment - 0
Thursday, November 30, 11:27:30 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Russell73
156, спасибо за схему!

Константин, спасибо за ответ, хорошо что схемы похожи, на Ленинград 48к в интернете инфы больше.

Useful comment + 0 | Useless comment - 0
Thursday, November 30, 17:22:12 2017 | post link    
Posts: 2
Name: Randomize_Usr
Уважаемый Константин! Спасибо Вам за участие. Запись заработала. Проблема решилась заменой NMC27C256Q-20 на TMM27128AD-15. Причем 256Q у меня две штуки и записав в них одну и туже прошивку я увидел решение. Дело в том, что с первой из них, дискеты форматировались с ошибкой в первом секторе каждой дорожки, а со второй tr-dos жутко глючил.
В итоге имеем. Правильно собранный и из исправных деталей BDI должен заработать (плюс пару конденсаторов о которых я написал выше).
Испытания буду продолжать. Попробую два дисковода и др.

Useful comment + 0 | Useless comment - 0
Saturday, December 2, 01:11:06 2017 | post link    
Posts: 23
Name: iegova
Константин, укоротил строчный синхроимпульс и проблем с кадрами больше нет. Изображение чуть чуть двоит, но это уже мелочи.

http://www.picshare.ru/view/8378040/

Useful comment + 0 | Useless comment - 0
Thursday, December 7, 08:48:21 2017 | post link    
Posts: 13
Name: Randomize_Usr
to iegova. Попробуй амплитуду sync уменьшить.
у меня были проблемы с синхронизацией пока я этот сигнал не пустил через делитель из двух резисторов по 5,1К.

Поэксперементировал с BDI. Вот отчет.
Это сделано вначале.(повторение с дополнениями)
0. DD16 установил IN74HC139AN (функциональный аналог К1531ИД14) полного аналога не нашел.
1. Сигнал блокировки ПЗУ Спектрума (CSTRDOS НА СХЕМЕ) взял с 11 выв.DD10, транзистор ненужен.
2. DD5 не устанавливал (пока запись не работает)
3. Добавил конденсатор 750пф (наверно можно и меньше, но у меня небыло под рукой) между 2 выв.DD5 и общим
проводом воткнул в панельку между 2 и 8 выводом. (Без этого конденсатора дискеты форматировались с ошибками)
4. Добавил резистор 1к между 12 выв. и +5в DD5, воткнул в панельку между 12 и 16 выводом.
Резистор не понадобится если установить микросхему DD5.
5. Добавил конденсатор 220пф между 12 выв.DD1 и общим проводом.(без него иногда глючил в tr-dos)
6. Уменьшил напряжение питания ПЗУ. 28 выв. отрезал от питания и включил в разрыв диод. Анодом к +5в
катодом к 28 выв.(Без этого совсем в tr-dos не заходилось. Всякие глючные надписи высыпались на экран.
Возможно это у меня такие ПЗУшки т.к. тест 48кб записанный в 573РФ2 и вставленный в контроллер, запускался
без ошибок при входе в TR-DOS.)
7. Резистор R16 заменил перемычкой.

*************** Продолжение ***********************
Стараюсь написать как можно доступнее. Может кому-нибудь покажется, что даже слишком.

8. Раздобыл 27С512 и установил вместо 27С256 в ПК (соответственно выполнил все доработки связанные с установкой
ПЗУшки такой ёмкости. И в BDI ПЗУшку ненадо ставить совсем),
в итоге конденсаторы из пунктов 3 и 5 не понадобились.
9. Резистор из пункта 4 заменил перемычкой (микросхема АГ3 попрежнему пока не установлена)
10. Бутерброд из РУ5 остужаю вентилятором в противном случае наблюдаются глюки с памятью
во время записи данных из памяти на дискету несколько байт портятся в памяти, причем
записанные данные остаются не поврежденными. В последствии заменю их на 41256.
11. Переключатель OFF-ON-RES убрал, он пригодился только во время отладки. В инструкции по наладке BDI
сказано, что переход в TR-DOS по команде randomize usr 15616 возможен при положении переключателя OFF, это не так.
Так-же триггер DD3 сбрасывается и остается в 0-м состоянии по команде randomize usr 15616 только без установленной
ПЗУ с TR-DOS. При установке ПЗУ с TR-DOS этот триггер сбрасывается на долю секунды и возвращается в 1.
12.DD3 установил К155ТМ2. Это триггер - переключатель между TR-DOS и SOS, очень капризная штука,
в таком виде работает, но у меня к ней остались еще вопросы.
13 Резистор на схеме без обозначения к 1выв.DD20 ненужен. Эту доработку я нашел на pk-zx, подрисовал чтобы не забыть.
Без этого резистора все работает, как впрочем и с ним.
14. Для тех кто использует ATX источники, чтобы увеличить немного напряжение 5В (у меня получилось + 0,2В)
без разборки самого источника можно попробовать пригрузить немного 12В канал. Достаточно 24 Ом 10 Вт сопротивления.
Об этом я узнал только когда разобрал свой источник. Схем источников много и разных, может и не получится такой фокус.

Обновил архив
https://yadi.sk/d/T2iwiGe43Q2QwB

Useful comment + 2 | Useless comment - 0
Thursday, December 7, 19:49:26 2017 | post link    
Posts: 23
Name: Randomize_Usr
Константин! Спасибо за подсказку. Указанных Вами диодов небыло вовсе. Теперь с цветностью все в порядке. И BDI с установленном на нем CGA адаптером и звуковым сопроцессором.

Еще потестил BDI. С двумя дисководами 3,5" 1,44Мб BDI работает, копировал с одного на другой дисковод. Дисковод с буквой "B" работает на прямом кабеле без перекруток, для того чтобы работал дисковод с буквой "А" надо перекрутить три провода, так чтобы 10 контакт попал на 12, а 12 соответственно на 10.

Useful comment + 1 | Useless comment - 0
Saturday, December 9, 23:34:39 2017 | post link    
Posts: 23
Name: Александр
Добрый вечер. Пока паяю разъём для подключения платы звукового чипа, хотелось бы узнать кое-что. На днях я нашёл такую микросхему - p82c55. Поискав в интернете информацию, я узнал, что эта микросхема представляет из себя контроллер параллельного интерфейса. Вопрос - могу ли я собрать контроллер дисковода на этой микросхеме или нет?
Useful comment + 0 | Useless comment - 0
Monday, December 11, 18:38:21 2017 | post link    
Александр
Posts: 121
Name: Александр
И ещё - куда мне подсоединять выводы a14 и а15 - к процессору или к ПЗУ? Дело в том, что у меня a14 и а15 на ПЗУ не связаны с а14 и а 15 на процессоре.
Useful comment + 0 | Useless comment - 0
Monday, December 11, 19:55:48 2017 | post link    
Александр
Posts: 121
Name: Александр
Незадача((( звуковой процессор не работает должным образом - генерирует только лишь сигналы баса. А самое основное - фон и шипение генератора помех не слышно(( Всё пропало((((( Опять(((
Useful comment + 0 | Useless comment - 0
Tuesday, December 12, 00:32:09 2017 | post link    
Александр
Posts: 121
Name: Александр
[b]Randomize_Usr[/b],что за вывод IOWR+A0 у вас на выходе из схемы звукового чипа??? Куда его подсоединять???
Useful comment + 0 | Useless comment - 0
Tuesday, December 12, 00:38:04 2017 | post link    
Александр
Posts: 121
Name: Randomize_Usr
to Александр. Это входной сигнал для платы звукового сопроцессора. Если у вас Ленинград то то этот сигнал берется с DD14. 11выв. и перемычку на XP5 ставите между 2 и 3 выв. А если Вы сделали доработку eD5.1 то берете с выв.3 eD5.1. и перемычку на XP5 ставите между 1 и 2 выв. На элементах DD1.4 DD2.3 и DD3.2 собран бипер, На музыку никак влиять не должно при правильной сборке и исправных деталях.
Уточните, по какой схеме Вы собрали музыкалку?

Useful comment + 0 | Useless comment - 0
Tuesday, December 12, 12:59:11 2017 | post link    
Posts: 23
Name: Александр
У меня схема примерно та же, что и у вас. Я проектировал плату, отталкиваясь как от своей, так и от вашей схемы. Вообще, изначально, когда я не нашёл такого контакта - /IOWR+A0 на плате, я поступил по-другой, "похожей" схеме - взял сигналы A0, /IORQ, /WR. Сигналы A0 и /IORQ смешал через 2 диода, между которыми поставил резистор 1к на массу. Отсюда же(с середины) вывел провод и подпаял его вместе с проводом от /WR уже так, как у вас - на DD2.
Useful comment + 0 | Useless comment - 0
Tuesday, December 12, 17:38:53 2017 | post link    
Александр
Posts: 121
Name: Александр
И никаких перемычек, типа Ленинград/Композит, Abc/Acb! Только один-единственный выключатель деления частоты на 26 ногу.
Useful comment + 0 | Useless comment - 0
Tuesday, December 12, 17:45:53 2017 | post link    
Александр
Posts: 121
Name: Алексей E-mail: c_a_v@mail.ru
Подскажите пожалуйста, чтобы запуститься с ПЗУ 27С512 в режиме 48к, надо ли проводить какие-нибудь доработки по схеме выше ?
И какую прошивку следует использовать, стандартная sos48 подойдёт ?

Дорабатывать до 128к не планирую, не хочу обвешивать плату проводами, лучше соберу отдельную модель :)

Useful comment + 0 | Useless comment - 0
Sunday, December 17, 10:19:55 2017 | post link    
Name: Randomize_Usr
to Алексей.
на DD29 отрезайте А13 от 20выв.
20выв соединяйте с общим проводом.
отрезайте 26выв. от +5в.
Соединяйте А13 к 26выв.
Записывайте sos48 в последнюю четверть 27512 или используйте прошивку автора 27512.bin
Все.

Useful comment + 1 | Useless comment - 0
Monday, December 18, 18:50:02 2017 | post link    
Posts: 23
Name: iegova
Константин, апгрейдил память до 256к + подключил YM2149F. После всех доработок получилось вот это







А между прочим именно Вы вдохновили меня на этот подвиг

Useful comment + 1 | Useless comment - 0
Tuesday, December 26, 20:41:32 2017 | post link    
Posts: 13
Name: Роман
Круто, очень круто!!!👍... свой Ленинград пока положил на полку, время появится надо будет дособрать). Я тут на счёт корпуса заморочился, пробую спроектировать модель для 3д принтера. Товарищи кто как делает корпус для новодела? А то обратил внимание у всех просто голые платки 🤔
Useful comment + 0 | Useless comment - 0
Tuesday, December 26, 22:51:04 2017 | post link    
Роман
Posts: 44
Name: Константин Айги (CXEMATEKA.RU)
iegova, отличная вышла поделка. Собрать клон Спектрума на макетке посложнее будет, чем апгрейдить классический вариант «Ленинграда». Это точно подвиг, не иначе! )

Роман, помню у меня, в своё время, основной рабочей лошадкой был клон на основе всё той же схемы Зонова в корпусе от БК-0010. А у товарища была поделка в самопальном корпусе из оргстекла. 3D-принтеров тогда ещё не было )

С наступающим всех! ;)

Useful comment + 0 | Useless comment - 0
Wednesday, December 27, 06:59:27 2017 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: iegova
Роман, я свой засунул в довольно компактный корпус от тв приставки. А клавиатура у меня отдельная, пришлось кишки старой клавы вынимать, резать гетинакс, напаивать на него контакты и напильником их шлифовать.Потом приклеивать термоклеем и соединять по схеме. Кстати порты для синклер джойстиков встроил в саму клаву, можно юзать джои от сега мастер систем или атари.




Useful comment + 0 | Useless comment - 0
Wednesday, December 27, 11:49:14 2017 | post link    
Posts: 13
Name: Randomize_Usr
С новым годом Народ!
Выжившие есть?
Вот накучерявил адаптер ps/2 клавиатуры.

и схема.
Прерывание.

Стандартные 40 кнопок работают отлично. Еще расширенные кнопки дописать надо и скриптовые, например Backspace на PS/2 клаве нажимаешь, а адаптер Caps Shift + 0 выдает итд.
Есть желающие покодить? А то времени маловато.

Useful comment + 0 | Useless comment - 0
Wednesday, January 3, 02:04:22 2018 | post link    
Posts: 23
Name: iegova
Randomize_Usr, это на микроконтроллере? Выглядит слишком сложно. И Вас с праздником!
Useful comment + 0 | Useless comment - 0
Wednesday, January 3, 11:41:32 2018 | post link    
Posts: 13
Name: 156
А к какой плате видео захвата можно подключить спектрум? Не хочется ставить отдельный экран.
Useful comment + 0 | Useless comment - 0
Tuesday, January 9, 14:58:16 2018 | post link    
Name: Константин Айги (CXEMATEKA.RU)
156, через PAL-кодер Спектрум можно к любой плате видеозахвата подключить. Можно, конечно, найти и такое устройство, которое RGB или полноценный SCART-ввод поддерживает. Но такие устройства, как правило, стоят недешево и найти их в продаже сложно.
Useful comment + 0 | Useless comment - 0
Wednesday, January 10, 22:58:36 2018 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: 156
Спасибо за ответ.
Спаял Ленинград-2012. Прикупил себе Rombica Pro Studio, как самое дешевое. При подключение тюльпаном Ловит кадр потом зависает, потом опять кадр и т.д.
При подключение к ЖК ТВ SCARTом дает четкую картинку, полностью проходит встроенный тест памяти. При подключении тюльпаном при прохождении теста на экране "пила" между бордюром и рабочей областью. Наверно давно решенная проблема и на форумах обсуждалось, попробую найти.
Заметно греются память. Это норма?
Надо отмыть плату от всякого, а чем? Впервые спаял не 2 проводка.
Дальше тестов не прошел, нет клавиатуры. На днях допаяю и подключу. Там посмотрим.

Useful comment + 0 | Useless comment - 0
Thursday, January 11, 00:24:34 2018 | post link    
Name: Ольга

Useful comment + 0 | Useless comment - 0
Sunday, January 14, 12:03:21 2018 | post link    
Name: Randomize_Usr
Здравствуйте! Вот запихнул всё в покупной корпус.


Проект PS/2 адаптера
Я расчитываю, что этот адаптер заработает на турбо Спектруме. Пока не могу это проверить. И если взять кристалл со свободным 8-ми битным портом то шифратор не понадобится. Для нетурбированного Спектрума подойдут микросхемы 555, 1533, 155 серии.
Для подключения к Ленинграду требуется:
15выв. DD37 отрезать от схемы и соединить его с +5в. или DD37 вовсе не устанавливать.
4выв. DD38 отрезать от схемы.
Почти все кнопки работают кроме курсора и F1-12. На курсорные кнопки планирую вывести кемпстон, на F планирую выводить Rand usr 15616, rand usr 15619, выбор дисководов A и B *"A:", *"B:" вобщем по мере возможности буду реализовывать.

Useful comment + 1 | Useless comment - 0
Sunday, January 21, 16:53:30 2018 | post link    
Posts: 23
Name: Роман
Супер 👍)))
Useful comment + 0 | Useless comment - 0
Sunday, January 21, 20:38:37 2018 | post link    
Роман
Posts: 44
Name: Виталий
Всем доброго дня!
Прощу помощи в адаптации звукового сопроцессора для подключения к ХТ (isa 8 bit) ни где не могу найти адекватного описания. Даже CF/IDE нашел под синклера, но не звук.
И в довесок, всем кто хочет корпус оригинальный для свой сборки, прошу, обращайтесь тут ко мне или https://vk.com/club153092268 я вам помогу спроектировать и распечатать корпус, крепления и тд. Это не реклама, просто вижу что большинство уже перешло на уровень "в корпусе" и хотел бы помочь с этим.

Useful comment + 0 | Useless comment - 0
Saturday, February 3, 09:10:30 2018 | post link    
Posts: 4
Name: Антон E-mail: zaharnicky@sarintel.ru
Всем здравствуйте.
Константин, отличное видео, как было сказано уже не раз. Думаю попробовать повторить, насколько получится или нет не уверен, я далеко не профи в этом. Вопрос возник, вроде поискав по обсуждению не нашел ответа. Печатка должна быть именно промышленного изготовления, с металлизацией отверстий, или как? Ведь если такую плату изготовить в домашних условиях, то без металлизации, а значит нужно пропаивать каждую микросхему и др элементы с обеих сторон. Или все же возможно ЛУТом или фоторезистивным методом дома такую плату изготовить и применить?

Useful comment + 0 | Useless comment - 0
Tuesday, February 13, 20:40:07 2018 | post link    
Name: Титовский Г.
Антон, такую плату реально изготовить в домашних условиях. 2 года назад я делал плату для Радио-86РК. Все микросхемы ставил в цанговые панельки. Каждый контакт панельки имеет ступеньку диаметром 1,3мм. Со стороны деталей припаивал ступеньку, со стороны дорожек - оставшийся вывод. Перемычки-переходы с одной стороны на другую - остатки "хвостов" от резисторов. Всё нормально паяется. Сначала сам пугался, теперь не боюсь.
Useful comment + 0 | Useless comment - 0
Wednesday, February 14, 02:12:31 2018 | post link    
Posts: 13
Name: Константин Айги (CXEMATEKA.RU)
Виталий, по поводу AY к PC почитайте тут:
http://zx-pk.ru/threads/22202-prikrutit-ym2149f-k-ibm-pc.html

Антон, для ЛУТа/фоторезиста плата Ленинграда сложновата. Тут надо очень хорошо потрудиться: не только микросхемы и резисторы с диодами нужно будет с двух сторон пропаивать, но ещё и переходные отверстия соединять, коих будет немало. Кстати, готовую плату Ленинграда достать не такая уж и проблема:
http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p34

Useful comment + 0 | Useless comment - 0
Thursday, February 22, 06:28:26 2018 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: 156
Перепутал блоки питания. Спалил на Ленинграде рушки и d39. Поставил симмку и напоял ир22 с перекосом ног. Все работала в 48к режиме. Решил расширить до 128 спаял схему для расширения
http://www.cxemateka.ru/v1/128k.png как тут, за исключением коррекции. Пробую запустить с ней. Но экран черный.
http://ibb.co/hsyKNc
Можете подсказать с чего начать копать?
И всех причастных с праздником!
Как то трудно оставить коммент с телефона.

Useful comment + 0 | Useless comment - 0
Friday, February 23, 09:23:21 2018 | post link    
Name: Виталий
Константин, проглядел подробно. Ну по подключению через юсб или лпт полно, а нужно прямо в ISA 8 бит. Конечно уже думаю найти лпт иса 8 и скопировать чтобы на одной плате было. Но хочу именно настоящую карту спека сделать. И именно карту =)
Useful comment + 0 | Useless comment - 0
Friday, February 23, 10:43:57 2018 | post link    
Posts: 4
Name: 156
Ну вроде заработал. Отходил MA2 от симм, вернулся и заработало. Надо пропаять нормально все контакты, а то от одного касания проблемы. И начинать играть.
http://ibb.co/cmQQfx

Useful comment + 0 | Useless comment - 0
Friday, February 23, 12:49:54 2018 | post link    
Name: Константин Айги (CXEMATEKA.RU)
156, коррекция дешифрации портов для версии платы LENINGRAD-2012 действительно не нужна.

А вот при доработке до 128к нужно ещё учитывать некоторую разницу в схемах оригинального Ленинграда и 2012-го:

D16-10 (Ленинград) = DD16-10 (2012) – перемычка J7 (A14_MUX)
D16-13 (Ленинград) = DD16-13 (2012) – перемычка J6 (A15_MUX)

D21-1...D28-1 (Ленинград) = DD21-1... DD28-1 (2012)

D3-2 (Ленинград) = DD3-13 (2012)

D17-13 (Ленинград) = DD17-13 (2012) – перемычка J8 (SCRSEL)

D9-8 (Ленинград) = DD9-8 (2012)

D10-13 (Ленинград) = DD46-4 (2012) – сигнал SEG00

Схема LENINGRAD-2012:
http://www.cxemateka.ru/ftp/LENINGRAD-2012.rar

Useful comment + 0 | Useless comment - 0
Monday, February 26, 08:27:10 2018 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305
Name: Константин Айги (CXEMATEKA.RU)
Виталий, готового такого решения я не видел, но можно используя наработки, приведенные в вышеупомянутой теме изобрести что-то своё. Если у Вас получится такую плату изготовить, не забудьте поделиться с общественностью. Сейчас я как раз работаю над новой версией сайта, чтобы удобнее было схемы/файлы и т.п. тут у нас публиковать )
Useful comment + 0 | Useless comment - 0
Monday, February 26, 08:34:13 2018 | post link    
Константин Айги (CXEMATEKA.RU)
Posts: 305

<<<< 13 12 11 10 9 8 7 6 5 4 3 2 >>>>

Download all comments!

Hits: 1,146,599

Name or Nickname (Required):
E-mail (Optional):
Message (Required):
You can post comments anonymously but it is recommended to register here.

Programmed & Designed by Constantine Aygi
©2016 CXEMATEKA.RU