CXEMATEKA.RU, © 2016 | Войти/Зарегистрироваться | In English

ZX Spectrum «Ленинград 48к» - сборка, наладка, увеличение памяти, доработки, схемы, видео

Автор: Константин Айги (CXEMATEKA.RU)


«То, что не удаётся запрограммировать на ассемблере, приходится паять» (http://bash.im/quote/398169)

Когда-то «ZX Spectrum» стал одним из моих первых персональных компьютеров. На нём я постигал азы программирования – от бейсика до ассемблера. И тут уместно будет вспомнить народную мудрость: «То, что не удаётся запрограммировать на ассемблере, приходится паять». Поэтому параллельно я подробно изучал схематехнику компьютера «ZX Spectrum». И так, постепенно, хобби переросло во вполне профессиональную деятельность в составе группы спектрумистов «FFC Computers». Я занимался тогда русификацией игр, дискетированием «ленточных» программ, ремонтом и доработкой Спектрумов (1995-1997 гг.)

За три года профессиональной деятельности в этой сфере через мои руки прошло огромное количество клонов Спектрума. Приносили в ремонт и другие компьютеры, но всё же Спектрумов было подавляющее большинство. И даже однажды мне довелось подключать отечественный контроллер дисковода к фирменному Спектруму 128k (тогда это была большая редкость).

С тех пор у меня сохранилось огромное количество документации к различным клонам Спектрума, сопутствующим устройствам, и к другой компьютерной технике распространенной в то время. Ну и кое-какое железо экзотическое сохранилось )

Начиная с этой публикации, постепенно буду делиться накопленной информацией. Думаю, многое будет полезно поклонникам Спектрума, да и не только )

«Как сделать компьютер? | Building ZX Spectrum 128k clone + Beta Disk Interface + AY-3-8910 (YM2149F)»

Как-то нашел у себя сразу несколько оригинальных плат популярнейшего клона Спектрума – «Ленинград 48k». И тогда я решил непременно собрать эту систему с полным апгрейдом до версии 128k с контроллером дисковода и, разумеется, музыкальным сопроцессором. Весь этот процесс я заснял на видео (смотрите выше) с подробными комментариями. Ниже привожу схемы, по которым работал и подробный план апгрейда.

Принципиальная схема компьютера «Ленинград 48k»

Вариант 1:

Источник: http://sblive.narod.ru/

Вариант 2:

Источник: http://zxbyte.ru/

Вариант 3:

Источник: http://micronc.ru/

Монтажная схема компьютера «Ленинград 48k»

Монтажная схема:

Источник: http://sblive.narod.ru/

Схема на просвет адаптированная для печати:

Источник: http://sblive.narod.ru/

Увеличение памяти компьютера «Ленинград 48k» до 128k

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для импортной памяти 41256 DRAM, регенерация в 256 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
для отечественной памяти 565РУ7, регенерация в 512 циклов:

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода
при использовании двух линеек памяти 565РУ5:

Для всех доработок используем 7 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D31)
eD2 - К555(1533)КП11 (устанавливается поверх D30)
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D34)
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)

План соединений при апгрейде памяти (41256 DRAM, регенерация в 256 циклов):
01. eD1-1 -> D20-26 (RESET)
02. eD1-2 -> eD2-2
03. eD1-5 -> eD2-11
04. eD1-7 -> eD2-14
05. eD1-15 -> eD3-8
06. eD1-9 -> eD3-10
07. eD1-3 -> D32-12 (D0)
08. eD1-4 -> D32-15 (D1)
09. eD1-6 -> D32-16 (D2)
10. eD1-11 -> D32-19 (D3)
11. eD1-13 -> D32-2 (D4)
12. eD1-14 -> D32-5 (D5)
13. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
14. eD1-12 -> eD7-2 (Выбор ПЗУ 128k)
15. eD7-1 -> D1-10 -> eD7-10 (Выбор TR-DOS инвертированный)
16. D1-11 -> через 10к к +5B
17. D1-11 -> D29-1 (отрезать от +5B)
18. eD7-3 -> D29-27 (отрезать от +5B)
19. eD2-3 -> eD2-13
20. eD2-13 -> eD4-4 -> D10-11 (A14)
21. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
22. eD2-15 -> D33-8 (GND)
23. eD2-1 -> eD4-6
24. eD2-4 -> D16-10 (отрезать от A14)
25. eD2-9 -> D16-13 (отрезать от A15)
26. eD2-12 -> eD5-12
27. eD3-1 -> eD4-1
28. eD3-2 -> D41-9 (A1)
29. eD3-4 -> eD4-2
30. eD3-5 -> D14-12 (WR)
31. eD3-6 -> D14-13 (OUTIORQ)
32. eD3-9 -> eD4-3
33. eD5-13 -> D3-2 (H1)
34. eD5-11 -> D21-1...D28-1 (41256 DRAM)
35. eD5-10 -> D10-13
36. eD5-9 -> D9-8 (WE)
37. eD5-8 -> D21-3...D28-3 (41256 DRAM) - отрезать от D9-8

Для соединений я использовал провод МГТФ 0.12. Термостойкая оплетка сохраняет провода в целости при плотном монтаже, а небольшое сечение провода позволяет легко перекидывать соединения со стороны пайки на сторону монтажа деталей через любые свободные отверстия на печатной плате, как показано на фото:

Другие доработки компьютера «Ленинград 48k»

Схема доработок:

1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
5. Установка ПЗУ 27C512
6. Доработка сигнала INT

Схема подключения контроллера XT-клавиатуры Profi:

Схема «читалки» с магнитофона на К554СА3:

Источник: http://service4u.narod.ru/

Этапы сборки, немного фоток

Оригинальная плата «Ленинград 48k» 1988:

Оригинальная плата «Ленинград 48k» 1988

«Ленинград 48k» в сборе:

«Ленинград 48k» в сборе:

«Ленинград 48k» с контроллером XT-клавиатуры Profi:

«Ленинград 48k» с контроллером XT-клавиатуры Profi

«Ленинград 128k» в сборе:

«Ленинград 128k» в сборе

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором:

«Ленинград 128k» с контроллером дисковода и музыкальным сопроцессором

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами:

«Ленинград 128k» с контроллером дисковода, музыкальным сопроцессором и дисководами

Кстати, недавно копаясь в ворохе старого железа и документации, нашел совсем уж экзотическую вещь: настоящий ценник, видимо где-то середины 90-х:

Да, да, контроллер тогда стоил 75 тысяч рублей )) И обратная сторона ценника порадовала не меньше – выяснилось, что он нарисован на куске перфокарты!!! ;)

Да... В этом есть определенный философский подтекст: ценник на контроллер носителя информации нового поколения нарисован на обрывке носителя информации старого поколения... )

Полезные файлы:

  1. Имя файла: DIZZY_5r_48k.zip (109K)
    MD5: e55b3dd84cba8895ebcce6b4efbe5607

    Игра «DIZZY 5». Русифицированная версия игры,
    адаптированная мной в 1996 году для спекртрума 48k.
    В архиве игра в трех форматах: TAP, HOBETA, SCL.

    Скачать: http://www.cxemateka.ru/v1/DIZZY_5r_48k.zip

  2. Имя файла: wildseyr.zip (68K)
    MD5: 62511c9b12f42794053805e140af3009

    Игра «Сеймур на Диком Западе» (русифицированная мной в 1996 году).
    В архиве игра в формате SCL.

    Скачать: http://www.cxemateka.ru/v1/wildseyr.zip

  3. Имя файла: test48k.bin (2,0K)
    MD5: 4bf68803c17aa614b7fbfa939549eaec
    Контрольная сумма прошивки: 85E7

    Тестовая прошивка 48k (модифицированная версия -
    убрал нудную процедуру заполнения экрана, ненужную проверку ПЗУ).

    Скачать: http://www.cxemateka.ru/v1/test48k.bin

  4. Имя файла: test128k.bin (2,0K)
    MD5: 5247c2d7d5c77d270d98d6c0080493da
    Контрольная сумма прошивки: E413

    Тестовая прошивка 128k.

    Скачать: http://www.cxemateka.ru/v1/test128k.bin

  5. Имя файла: sos48k_only.bin (16K)
    MD5: 4c42a2f075212361c3117015b107ff68
    Контрольная сумма прошивки: 1F64

    Стандартная операционная система
    для «ZX Spectrum» 48k (1982 Sinclair Research Ltd).

    Скачать: http://www.cxemateka.ru/v1/sos48k_only.bin

  6. Имя файла: 27512.bin (64K)
    MD5: 77cec243abe304ba3603b63b88709cae
    Контрольная сумма прошивки: 9135

    Комбинированная прошивка для ПЗУ 27512:

    Банк 0 - Пусто.

    Банк 1 - TR-DOS Version 5.5H Copyright (C) 1993 by Rst7.
    MD5: de4131214186667b9fb4022343d34444
    Контрольная сумма: 3F81

    Банк 2 - SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).
    MD5: 97da465c399ff70b907dfd8291e8f9d3
    Контрольная сумма: 266E

    Банк 3 - SOS 48k (Стандартная ОС для «ZX Spectrum» 48k).
    MD5: 6e09e5d3c4aef166601669feaaadc01c
    Контрольная сумма: 2C86

    Скачать: http://www.cxemateka.ru/v1/27512.bin

Где взять детали для сборки?

Сначала надо заказать печатную плату в любой специализирующейся на этом деле конторе. Набор файлов gerber & excellon для изготовления платы: http://www.cxemateka.ru/v1/Leningrad48k.rar (Источник: http://sblive.narod.ru/).

Всё остальное можно найти в продаже. Если не найдутся отечественные детали, всегда можно найти зарубежные аналоги.

ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS):
1533ЛН1 (74ALS04) - 2 шт.
1533ТМ2 (74ALS74) - 3 шт.
1533ТМ9 (74ALS174) - 1 шт.
1533ИЕ7 (74ALS193) - 4 шт.
1533ЛЕ1 (74ALS02) - 1 шт.
1533ЛП5 (74ALS86) - 1 шт.
1533ЛА3 (74ALS00) - 1 шт.
1533ЛИ1 (74ALS08) - 1 шт.
1533ЛЛ1 (74ALS32) - 1 шт.
1533КП11 (74ALS257) - 8 шт.
1533КП13 (74ALS298) - 2 шт.
1533ИР22 (74ALS373) - 1 шт.
1533ИР9 (74ALS165) - 1 шт.
1533ИР16 (74ALS295) - 1 шт.
1533ЛА4 (74ALS10)- 1 шт.

КМОП:
561ИЕ10 (4520) – 1 шт.

Процессор:
Z80 – 1 шт.

Постоянная память:
EPROM 27C64 - 2 шт. или одна EPROM 27C128 (для версии 48k)

Оперативная память:
565РУ5 - 8 шт. или 565РУ7 - 8 шт. (первые выводы РУ7 нужно будет соединить между собой и заземлить /для версии 48k/)

Вместо 565РУ7 можно ставить зарубежные аналоги: 41256/41257 DRAM, MB81256/MB81257 DRAM.

Кроме того, в Спектрум можно ставить динамическую память большего объема и соотв. потребуется меньшее кол-во микросхем. Как это делается, расскажу в следующем материале.

Узел чтения с магнитофона рекомендуется собирать по приведенной выше схеме на К554СА3 (зарубежный аналог IL311ANM).



Полезный пост + 14 | Бесполезный пост - 0
пятница, 9 декабря 12:41:30 2016    
Константин Айги (CXEMATEKA.RU)
Автор: Ольга Король
Фото у тебя Супер! )

Очень интересное видео! Мне понравилось как ты делаешь и рассказываешь ) Круто! ;) МолодчАга! ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 2 января 21:31:09 2017 | ссылка на пост    
Автор: sn0wday E-mail: rostislav.nikitin@gmail.com
Привет. Подскажи, а есть схема не пентагон ? Вообще, что бы ты посоветовал собирать ? Какой ZX клонов самый удачный ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 28 января 16:18:59 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
sn0wday, пожалуй, «Ленинград» как был, так и остается самым дешевым и простым для сборки клоном Спектрума. А как его довести до ума, я собственно и показал на видео )

А так, есть немало разных современных клонов, например:
http://www.zxkit.ru/katalog-1/zxkit-005
http://nedopc.com/zxevo/zxevo.php

Но будет это всё подороже...

P.S. Вообще, подумываю свою плату разработать, со всякими доработками и простую в сборке )

Полезный комментарий + 3 | Бесполезный комментарий - 0
воскресенье, 29 января 08:36:10 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Alex Babkin
Приветствую. Все очень подробно расписано, описано и т.д. После просмотра Вашего видео по сборке "ZX Spectrum"-совместимой машинки у меня снова проснулся к ним интерес... Ситуация у меня такая: есть у меня так называемый "Ленинград-НЭТИ" (обычная "ленинградка" с исправленными мелкими блохами и разъемом шины), но, увы, неисправная... Кварцевый генератор вроде стартует, на 6 лапку процессора тактовая приходит, а работать не хочет (вертикальные полосы вместо растра)... Осциллограф есть, частотомер есть, спирт тоже. Портреты платы с обеих сторон и снимки с экрана организую. Проконсультируете по поломке?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 29 января 18:09:19 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Alex, судя по фото, не всё там так плохо. Для начала есть смысл проверить мультиметром напряжение питания на разных участках платы. Напряжение везде должно быть не ниже 5-ти вольт.

Ну и неплохо бы попробовать тестовую прошивку запустить:
http://www.cxemateka.ru/v1/test48k.bin (вариант без заполнения экрана)

И вариант с заполнением экрана (для особо запущенных случаев):
http://www.cxemateka.ru/v1/test48k_org.bin

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 31 января 08:23:13 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Zimakos
Константин, Приветствую!
Посмотрел видео и вспомнил, как видел (или слышал) твою фамилию в конце 90-х, когда я и познакомился с спекой. Спасибо тебе за труды!
А так же спасибо за видео. Будем ждать новых.

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 31 января 16:31:28 2017 | ссылка на пост    
Автор: Вадим E-mail: ew7dk@mail.ru
ценник с ошибкой в слове контроллер. проще найти ошибку в плате, чем в слове. :)
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 31 января 23:28:54 2017 | ссылка на пост    
Автор: Nano1985 E-mail: nano1985@yandex.ru
Народ, кому интересно приобрести "Компаньен-2", могу обменять на рабочую видяху главное не ниже GDDR5 1 гиг )) https://ru.wikipedia.org/wiki/Компаньон_(компьютер)
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 февраля 03:35:12 2017 | ссылка на пост    
Автор: Alex Babkin
Константин, приветствую. Визуальный осмотр платы выявил несколько КЗ, после устранения которых плата запустилась. Но, на всем экране (включая бордюр и основное поле экрана) видны вертикальные полосы. Бейсик из ПЗУ выполняется нормально (бейсик закатан "Синтезовский"). Видео прикрепляю: https://youtu.be/5rEVgABDXUc
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 февраля 11:32:58 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Роман
Где можно сейчас приобрести комплект для сборки Спекки? ( плату, детали, 5' дисковод и прочее) Очень хочу повторить!!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 2 февраля 08:59:33 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Alex, когда помехи идут по всему изображению, то тут три варианта:
1. проблема с подключением компа к монитору/телевизору (что-то не так подключено, сигналы несовместимы и т.п.)
2. источник питания нестабилен или мощности в нём маловато (стоит попробовать другой, заведомо исправный и достаточно мощный)
3. поскольку искажения идут по всему изображению, включая бордюр, я бы начал проверку с элементов в правом нижнем углу платы, а именно с микросхемы КП11 (КП14), которая рядом с вертикально расположенной ЛП5. Осциллограф тут конечно бы не помешал )

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 февраля 13:16:49 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Роман, в конец статьи добавил информацию о том, где и как достать детали.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 февраля 14:49:21 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: shurik-ua E-mail: rrurrer@gmail.com
Спектрумистов бывших не бывает.
Советую посетить zx.pk.ru, сцена по прежнему жива - там много чего было разработано для спека, может быть там найдёте интересные идеи для разработки.
Удачи.

p.s. Сам собирал примерно в те же годы, но Ленинград-2. Выше видео прям сплошное ностальжи )

Полезный комментарий + 2 | Бесполезный комментарий - 0
суббота, 4 февраля 17:03:32 2017 | ссылка на пост    
Автор: Александр
присоединяюсь к шурикуua -сам собирал в Харькове Ленинград2-самая популярная модель в 92-93 годах,подключал и муз плату -разные испытывал процы-ямаха рулит однозначно ))) позже купил Пентагон 128-вот это уже был аппарат )))Робик,Орель Бк и тд и тп. Респект автору всё так и есть-100% Но когда собрал самый первый спектрум включил и он сразу заработал(перед включением всё проверил многократно-на плате было 4 точки брака-заведомо сделаны чтобы ещё заработать) и эти чувства не передать-восхищение от работы и первый комп ... да...а ещё и пробник для контроля звуковой собирал-легче платы проверять по звуку было при наладке нажал ресет и слушаешь шины)))
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 5 февраля 04:05:10 2017 | ссылка на пост    
Сообщений: 1
Автор: konstantin
Доброго времени суток , пожалуйста подскажите не могли бы вы мне продать готовый пзу с ос и сколько это будет Стоит. и еще к кокой Ноге подключается "читалка "
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 февраля 23:27:15 2017 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
konstantin, распространением деталей я не занимаюсь. А вообще, всем кто всерьез задумался о сборке и доработке подобных устройств, я бы порекомендовал приобрести или собрать себе программатор, способный шить EPROM. Тогда всё будет много проще )

Что касается "читалки". В оригинальной версии Ленинграда "читалка" собиралась на связке микросхем 140УД6 и 521СА3. Эта схема оказалась не самой удачной, и впоследствии зачастую применялись уже другие решения.

Я собственно, поэтому и не стал собирать оригинальную схему "читалки", а сразу собрал вариант на 554СА3:

Схема простая и надежная. На вход "in" подается сигнал с магнитофона, смартфона, звуковой карты компьютера и т.п. Выход "out" соединяется с 11 выводом микросхемы D38.


Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 февраля 08:03:19 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: joub
День добрый, а есть ли нормальный плеер для tap фалов для Android?
К примеру - вот "железный" плеер http://trolsoft.ru/en/sch/zx-tapper но хотелось бы использовать Android телефон в качестве токового...

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 февраля 11:15:21 2017 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
joub, есть такой - TeeZiX называется. Пробовал его ставить, работает:
https://play.google.com/store/apps/details?id=dk.rift.tzxdroid

Полезный комментарий + 0 | Бесполезный комментарий - 2
понедельник, 6 февраля 13:26:54 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: konstantin
Я понимаю, что микросхема 27c256 (меньше не нашел )подойдет и 48к версии и часть памяти просто не будет использована ?

А вы можете прошить?
Просто для меня не выход поять программатор eprom памяти .так как не кто не знает нужен мне будет дальше ,а деньги и так нужны

И читалка это просто микроусилитель по-сути ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 февраля 22:08:54 2017 | ссылка на пост    
Сообщений: 2
Автор: серг
Респект и уважуха!
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 6 февраля 22:16:31 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
konstantin, да, можно использовать 27C256. Можно сразу зашить туда и стандартную ОС для версии 128k:
с адреса #0000-#3FFF SOS128k
с адреса #4000-#7FFF SOS48k.

Я с прошивкой не помогу, но, думаю, найти кого-нибудь, кто поможет сегодня несложно. Можно тут поспрашивать: http://zx-pk.ru/forum.php

Нет, "читалка" – это одноразрядный АЦП. На вход приходит аналоговый аудиосигнал, а на выходе мы получаем уже цифровой сигнал (ноль/единица).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 февраля 16:47:33 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Михаил
А какую схему расширения памяти на РУ5 Вы бы посоветовали?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 9 февраля 12:20:44 2017 | ссылка на пост    
Автор: Mihailsi
Здравствуйте, благодарю за работу, оч интересно было вспомнить сей по истине легендарный компьютер, ждёмс продолжения в следующем матерьяле:)
Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 9 февраля 22:52:43 2017 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Михаил, а ту же самую )

Вот вариант для РУ5 (добавляется несколько элементов):


Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 10 февраля 04:29:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Toboe
А где достать контроллер дисковода, музыкальный сопроцессор?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 февраля 14:49:26 2017 | ссылка на пост    
Автор: Mr.Spock
Ностальгия эээх. Молодец!
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 14 февраля 11:23:36 2017 | ссылка на пост    
Автор: Михаил
Пропиарил на Полигоне )
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 14 февраля 12:38:03 2017 | ссылка на пост    
Автор: Toboe
Константин, куда пропал?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 февраля 15:22:14 2017 | ссылка на пост    
Автор: Toboe
Эээ! Не надо тут под моим ником писать! Я кстати нашёл де можно почти все детали купить и плату http://retro-pk.wixsite.com/zx-spectrum
Только тут уже немного модифицированная плата, зато есть платы музыкального сопроцессора и контроллера дисковода.

Полезный комментарий + 1 | Бесполезный комментарий - 1
среда, 15 февраля 07:03:25 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, да, практически всё можно найти сегодня в сети. Даже дисководы 5.25 можно приобрести на сайтах вроде https://www.avito.ru/.

А чтобы никто под Вашим ником не мог написать, – регистрируйтесь, тем более что сайт наш будет развиваться. Кстати, планирую опубликовать толковые схемы для самостоятельной сборки контроллера дисковода, платы музыкального сопроцессора и тому подобных устройств.

Полезный комментарий + 2 | Бесполезный комментарий - 0
среда, 15 февраля 10:36:17 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Юрий
Немного поразил конец статьи:
"Всё остальное можно найти в продаже"
"Процессор Z80 - 1 шт."

Что-то я не приметил в своем городском магазине радиодеталей Z80.
И вообще, их же лет пятнадцать назад кончили выпускать, даже на складах остаться не должно, нет?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 февраля 15:27:27 2017 | ссылка на пост    
Автор: Toboe
Юрий,вот это как раз-таки не проблема.На том же али их пачками продают. Или... неужели вы рассчитывали всё купить у себя в городе, по моему такое только в Москве возможно. У нас, например, даже современные детали трудно купить, давно уже почти всё у китайцев покупаю, и дешевле в раз в 5-10 получается.
Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 15 февраля 20:10:19 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Да, похоже, в разных регионах по-разному дела с доступностью деталей обстоят. Я процессор Z80 для этого проекта в ближайшем магазине радиодеталей купил ;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 февраля 20:56:09 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Юрий
Просто "самодельным компьютером на рассыпухе", как мне кажется, можно называть только такой компьютер, который собран из существующих в закромах/на местном радиорынке деталей.
Типа как клон оного Спектрума на АТмеге.
А клон Спектрума на Z80 уже носит характер исторического моделизма, с тем же успехом я могу заказать из Китая комплект "Собери клон Спектрума" с разводкой и всеми микросхемами - но это НЕ ТО.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 февраля 21:10:31 2017 | ссылка на пост    
Автор: Alex Babkin
Вернемся к нашим "баранам" :-) Копаясь в шкафу с деталями в поисках регистров, я наткнулся на свой второй спек в лице "Пентагон-128" с мелкими доработками. И опять-таки дефектный :-(. При включении без процессора и ПЗУ выдает такую картину:https://pp.vk.me/c636524/v636524275/4aaf6/mln1z9sn3cs.jpg ; а с процессором и ПЗУ выдает:https://pp.vk.me/c636524/v636524275/4ab00/iquj7Bhpknc.jpg ... По картинке ясно видно, что синхрогенератор работает, тактовая частота 3.58МГц на проц приходит, но что-то глючит... Сопротивления подтяжки шины данных - 2.2К.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 февраля 05:10:31 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Alex Babkin
И в догонку жутковатое видео (не)прохождения теста 48: https://youtu.be/QwD0Ogzstt0
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 февраля 06:09:26 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Alex Babkin
И причину писать поздно,т.к уже она найдена и устранена (2 кп11 пошли прахом). Всем спасибо за внимание.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 февраля 08:27:21 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Alex, да, типичная проблема с мультиплексорами памяти. В следующем материале, как раз подобный случай буду рассматривать, но там всё несколько посложнее будет )
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 февраля 18:00:38 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Алексей
Статья супер, видео тоже. Подписался, жду новых видео.
Уже думаю где что заказать, так что бы не долго ждать и не очень дорого.

Надо будет ещё понять, как всё это работает.

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 21 февраля 08:43:49 2017 | ссылка на пост    
Алексей
Сообщений: 1
Автор: Toboe
Неплохо бы в следующем видео узнать подробностях работы данного компьютера, назначение сигналов. Куда что приходить должно. Принципиальная схема это хорошо, но даже если знаешь работу отдельных элементов, триггеров, мультиплексоров, не сразу сообразишь куда, что приходит и что должно приходить.
Полезный комментарий + 2 | Бесполезный комментарий - 0
среда, 22 февраля 22:02:56 2017 | ссылка на пост    
Сообщений: 13
Автор: Alex Babkin
"Нет повести печальнее на свете, чем повесть о заныканом дефекте". Или, говоря иначе, спекирум не сбрасывается в TR-DOS. Выглядит это так: https://www.youtube.com/watch?v=jhzmSf1AEh8
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 февраля 07:51:23 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, да, в следующем видео будет больше теории. Уже приступаю к монтажу видеоролика )

Alex, если это Пентагон-128, то нужно смотреть приходит ли 0 на 1-ю ногу D36 (сигнал DOSEN) в момент входа в TR-DOS. Если сигнал в момент входа формируется, тогда надо проверять ПЗУ. Возможно, не в ту страницу прошит TR-DOS или сама микросхема сбойная.

Если же сигнал DOSEN не формируется, то нужно проверять цепочку: D75 вывод 8, D77 вывод 11, D76 вывод 9, D83 вывод 11. Просто в каждом случае смотрим, есть ли движение на соотв. выводах в момент входа в TR-DOS.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 февраля 15:20:55 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Barmaley
Замечательный ролик. Вспомнил детство золотое. Сам когда-то эти девайсы паял.
Несколько лет назад было желание эту плату доработать и зделать новую разводку
под 128кб, муз проц и контроллер дисковода, чтоб все было на одной плате без
навесного монтажа. Даже пару раз принимался за работу, но потом бросал, бо
баловство все это когда ноут на столе и смарт в кармане.
Тут, пожалуй, есть только один плюс. На примере сборки научить и заинтересовать
молодежь. Мне это в своё время очень помогло.
И вопрос по видео. Почему компаратор СА3 на входе, а не ЛН1 ?
Я помню, что в последних клонах вход на ЛН1 постоянно делали.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 февраля 02:16:57 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Barmaley, опытным путем разработчики в то время пришли к использованию двух вариантов схемы узла чтения с магнитофона: вариант на 554СА3 (использовалась в поздних «Ленинградах», «Композитах») и вариант на КМОП микросхеме 561ЛН2 (Пентагон, Profi, Scorpion).

Какая схема лучше, спорят до сих пор ) По-моему обе нормально справляются со своей задачей. Вариант на ЛН2 получил большее распространение, т.к. проще немного.

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 24 февраля 08:03:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Alex Babkin
Константин, приветствую. По не работающему переходу в DOS: плата "Пентагон-128" в чистом ее виде. Сигнал /DOSEN на 1 выводе D36 все время висит в лог.1, на 8 выводе D75 аналогично. То же и в отношении D76, D77, D83. На плате в свое время делалась доработка для выхода в 0-ю область ПЗУ. Сейчас доработка убрана, вся схема возвращена к исходной.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 февраля 09:27:05 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Alex, я бы тут посоветовал проверить внимательно все входящие сигналы на D75. А прежде всего вывод 11 – в режиме 48k там должна быть единица, иначе вход в TR-DOS будет заблокирован. На остальных входах должны быть хорошо различимые адресные сигналы (на 12-й ноге A9 инвертированный, на 6-й A14/A15 смешанные). Если все сигналы присутствуют, а импульс при входе в TR-DOS на 8-й ноге D75 не формируется, то, скорее всего, микросхема неисправна или просто не запитана )
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 24 февраля 11:28:12 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Alex Babkin
Надо сказать, что на 6 ногу сигнал приходит постоянно, а она соединена с 10 ногой дд84 (лн1). На 11 ноге дд84 сидит лог.1, на 10 ноге тоже лог. 1. Вывод: либо КЗ на какую-нибудь линию, либо она вышла из строя.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 февраля 16:25:34 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Николай
лет двадцать тому назад собрал штук 7 таких, и ленинград и краснодар, и с сопроцессором звука и с контроллером дисковода при том добился не работы не только на 5 дюймовом но и на 3-х дюймовом (там секрет заключался в заклейке окошка на диске), где то в гараже валяется почти целый правда чуток провода и переключатели вырваны.
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 24 февраля 18:02:14 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Николай, есть такое дело ) Для нормальной работы с 3-х дюймовыми дискетами, нужно на них заклеивать окошко, определяющее плотность записи, т.к. контроллер на ВГ93 не работает с дисками объёмом более 720 кб.

Alex, соглашусь, очевидно, что-то не так в районе вывода 10 D84. Одновременно на входе и выходе элемента ЛН1 никак не может быть логической единицы )

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 25 февраля 02:33:57 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
не давно прислали по почте ВЕСТА ИК-30 некоторые провода оторванные,восстанавливаю потихоньку,у меня в 12 лет был спектрум :) играл на цветном ламповом телевизоре,подпаивал сам RGB и не только себе но и друзьям,весту хочу подключить к маленькому телеку к скарту,Константин не подскажете как правильно это сделать?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 2 марта 20:56:37 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр, я по этой схеме к SCARTу различные zx-клоны подключаю:


Полезный комментарий + 2 | Бесполезный комментарий - 0
пятница, 3 марта 06:09:07 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Спасибо Константин! я кстати вчера порылся в нете по этой теме и тоже нашел эту схемку,но были все равно сомнения а теперь нет :)сегодня куплю скарт и спаяю,есть вопросы: файлы игр в каком формате сдесь? хочу попробывать со смартфона залить,и как сюда вставлять фотки?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 3 марта 10:41:15 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
спаял я провод по этой схеме,подключил к спектруму,вроде виднеются какие то полоски сквозь рябь и тишина,на выходе с платы у меня RGBUSZ тоесть U видео,Z звук ну остальные понятно,я попробывал подсоединил с выхода спека U на вход видео телека (кстати телевизор рубин 37м10-2) то тоже рябь и еле видны полоски но нажимаю на сброс и появляется черный квадрат от верха до низа экрана ближе к левому краю,пока не пойму в чем проблема!?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 3 марта 23:47:50 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр, ВЕСТА ИК-30, судя по всему, это тот же «Ленинград». В этом случае, возможно, потребуется сделать некоторые доработки, чтобы привести видеовыход системы к стандарту.

Первое на что надо обратить внимание, это частота кварца. Если кварц ровно 14 МГц, то нужно проверить, правильно ли настроен счетчик D4. На этой схеме показано, как должны быть подключены входы счетчика (нормализация строчной развертки):
http://www.cxemateka.ru/v1/Other.png

Выводы 1,15,9 на землю. Вывод 10 к +5В. Вывод 12 на землю через конденсатор 200 пФ.

Подробнее об этом можно почитать тут:
http://zxbyte.ru/leningrad_upgrade.htm#2

И второе. В видеосигнале «Ленинграда» отсутствует привязка к уровню черного. Не всякий телевизор примет такой сигнал. Как привязку ввести, подробно рассказано тут:
http://zxbyte.ru/leningrad_video_out.htm

«DIZZY 5» выше в форматах TAP, HOBETA, SCL; игра «Сеймур на Диком Западе» только в SCL.

Об этих форматах подробнее:
http://speccy.info/TAP
http://speccy.info/SCL
http://speccy.info/Hobeta

А картинки здесь можно вставлять, используя соотв. HTML тэг (<img src=ссылка_на_картинку>)

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 4 марта 11:52:26 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Да это ленинград, кварц на 14мГц,еще проблема нет звука это и прошлый хозяин говорил
пока вот такая стадия, это уже через скарт
20170304_085940.jpg

а это если нажать сброс
20170304_090035.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 марта 12:20:27 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
сделал доработки,генератор отказывается работать когда к 3 4 ноге лн1 припаиваю резистор 470 Ом убираю его работает
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 марта 20:56:49 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр, нужно мультиметром посмотреть, какое сопротивление между 1-й и 2-й ногой D1, а также между 3-й и 4-й. У меня ровно 470 Ом в обоих случаях. Кроме того, на схеме «Весты» может быть установлен конденсатор C21 между первыми двумя выводами D1. При установке второго резистора, этот конденсатор не нужен.

А вообще, эту доработку можно в самый последний момент попробовать сделать. В моем случае было видно на осциллограмме, что синусоида на выходе тактового генератора неправильной формы. Все, в общем, и так работало, но были периодические мелкие подергивания картинки. После этой доработки все нормализовалось.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 5 марта 02:38:30 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
на схеме есть конденсатор а на моей плате нет,кстати я попробывал просто накинул конденсатор как в схеме на 1ю и 2ю ногу,до 15 пик работает генератор а больше отказывается,но это без резистора на 3-4 ногах,ладно это потом надо сначала картинку вывести,поменял вчера тм9 погоды это не сделало,приду с работы опять буду искать,еще осцилограф у меня только до мегагерца,хамелеон который:) и частоту санва меряет до 1мегагерца,приходится витьком мерить тот до 10мегагерц,я там кстати заявку в друзья послал в контакте:)
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 марта 09:17:11 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
Нашёл у друга в магазине радиодеталей вот такую плату Ленинграда-48к: http://pixs.ru/showimage/DSCN1472JP_8345655_25404378.jpg
Сейчас сижу, восстанавливаю, согласно видео, за исключением одного - я самодельную клавиатурку для него сделаю вместо контроллера - благо шлейф из платы торчит в аккурат припаянный к нужным выводам)) И ещё хотелось бы узнать про корректировку платы под установку 27128 или 27512 - какие именно дорожки надо перерезать?? На видео просто плоховато видно(

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 марта 12:21:18 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё - для всех ли блокировочных конденсаторов нужна ёмкость именно 0,1 мкФ??? Или можно какую-нибудь другую поставить?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 марта 17:20:08 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр (EJIEKTPuK95), вот на этой схеме показано, как устанавливать 27C512:
http://www.cxemateka.ru/v1/Other.png

Новое ПЗУ ставим вместо D29 (та панелька, что ближе к процессору). Для 27C128 просто отрезаем 20-й вывод от A13 и заземляем, а вывод 26 соединяем с выводом A13 процессора.

Блокировочные конденсаторы обычно ставят в пределах 47 нФ – 100 нФ (0,1 мкФ).

Полезный комментарий + 2 | Бесполезный комментарий - 0
вторник, 7 марта 06:01:42 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Спасибо за помощь. И ещё один вопросик-по кварцу. Можно в эту схему поставить на 14,3 МГц?? Или надо искать ровно на 14 МГц? Они просто очень редкие.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 марта 15:11:01 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
по кварцам посмостри пост от 4 марта
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 марта 20:03:42 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
Константин привет! вот что то наладилось
20170307_225233.jpg
20170307_225321.jpg
20170307_220550.jpg
это 3 стадии без проца и озу, с процем и с процем и озу, подскажи пожалуйста что дальше делать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 марта 23:02:39 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
сменил D13 теперь такая картинка
20170308_090545.jpg
вроде Неисчезающий чёрный прямоугольник плохой контакт в 1й панели пзу или неисправность пзу а у меня и подкинуть то нечего

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 8 марта 09:17:02 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр (EJIEKTPuK95), про установку разных кварцев можно почитать тут:
http://zxbyte.ru/leningrad_upgrade.htm#2

В принципе, можно и без всяких переделок поставить кварц на 14,3 МГц вместо 14-ти, но тогда нужно быть готовым к возможным проблемам с изображением. В большинстве случаев, заметных проблем возникнуть не должно.

Александр, судя по картинкам, проблемы с оперативной памятью. Тут было бы неплохо прогнать вот этот тест:
http://www.cxemateka.ru/v1/test48k_org.bin

Прошивка с этой программкой устанавливается вместо основного ПЗУ.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 8 марта 12:49:54 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
у меня стоит Т36РЕ1-040 тоесть мне нужно где то взять пзу перепрограммируемое,залить в него прошивку и вставить в спек? какой программатор нужен чтоб заливать? у меня есть для PIC и AVR но скорее всего не пойдут,мож есть схемка?я соберу и через какую прогу заливать?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 8 марта 16:20:30 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Andrey
Константин!
а как насчёт подключить переферийное оборудование?
например DMP 52

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 8 марта 17:39:00 2017 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Александр, самый простой вариант, заменить ПЗУ на Flash память:
http://zx-pk.ru/threads/12141-zxkit-003-nabor-programmatorov-dlya-speccy2007.html?p=324586#post324586

Описание теста:
http://zx-pk.ru/threads/11486-faq-zheleznogo-razdela-(under-construction).html?p=397684#post397684

Andrey, если оборудование поддерживает интерфейс Centronics (LPT) или RS-232, то такую периферию можно будет подключить через ZX Lprint III:
http://speccy.info/ZX_Lprint

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 9 марта 03:36:49 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
наладил! дело было не в памяти а в D19 сменил и надпись появилась,но какая то смазанная как будто резкости нет,отпаял транзисторы из скарта оставив только резисторы на 75ом и стало все четко! затем не грузились игры,на входе 4 ноги са3 сигнал был а с 9той нет сменил са3 и начали грузится игры!
В цвете,со звуком,с устойчивой картинкой вообщем супер!
20170309_210434.jpg
20170309_130330.jpg
20170309_130222.jpg
20170309_130337.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 9 марта 23:42:16 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
только вот не пойму как установить конвертор tzx2wav открываю файл по ссылке,нажимаю ехе шник мелькает черный квадрат и ничего не происходит
http://www.worldofspectrum.org/utilities.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 9 марта 23:46:57 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр, поздравляю ;)

Я перевожу tap/tzx в wav этой утилитой:
http://www.worldofspectrum.org/pub/sinclair/tools/pc/tape2wav1.8.zip

Есть еще проигрыватель tap/tzx - "TeeZiX" для Андроид-устройств:
https://play.google.com/store/apps/details?id=dk.rift.tzxdroid

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 10 марта 03:33:33 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
не понятно как ей пользоватся ?
Безымянный.png
Безымянный 2.png

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 марта 20:24:15 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр, tap/tzx файл просто мышкой перетаскивается в это окно, а wav-файл появится в папке с исходным файлом.
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 10 марта 21:46:26 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
получилоссь! только при установке этих игр 5шт пробовал установить пишет ошибка 10:4 10:8 10:3 не пойму в чем проблема? пробовал с 2х ноутов грузить и все одно,а выт ь=быстрые на раз грузятся
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 марта 10:43:23 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Александр, если на плате установлен родной узел чтения с магнитофона, то сбои объяснимы.

Тут два варианта, либо доработать родную "читалку", как тут показано:
http://zx-pk.ru/threads/22542-skhema-chteniya-s-magnitofona-na-544sa3.html?p=665866&viewfull=1#post665866

Либо собрать новую, например, по схеме приведенной выше (на К554СА3).

Полезный комментарий + 2 | Бесполезный комментарий - 0
суббота, 11 марта 11:47:22 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
собрал по схеме на к554са3 хотя у меня на ней и было собрано но другая схема маленько,не помогло
DIZZY 5». Русифицированная версия игры так и не грузится
20170311_224358.jpg
Кстати Константин а что дает увеличение памяти с 48 до 128 ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 марта 22:48:10 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Уровень сигнала имеет значение, можно попробовать поиграть с громкостью входного сигнала.

Да, еще есть смысл проверить мультиметром напряжение на разных участках платы, везде напряжение должно быть не менее 5В. Также стоит обратить внимание на количество блокировочных конденсаторов на плате, если их недостаточно, то вот такие мелкие сбои будут присутствовать.

Если память увеличить до 128k, то можно будет значительно больше программ запускать. Например, версия игры «DIZZY 5» для 128k имеет расширенную графику и дополнительное музыкальное сопровождение (доступно при наличии музыкального сопроцессора).

Полезный комментарий + 2 | Бесполезный комментарий - 0
воскресенье, 12 марта 00:25:03 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
у меня собран на микросхемах т34 и память и проц
возможно поменять память на ру7 или проще вторым этажом еще 8 микросхем ру 5 напаять? и нужна ли новая прошивка в этом случае?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 марта 09:13:32 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
Самый простой вариант ру5 на ру7 поменять, а лучше сразу на импортные аналоги (41256/41257 DRAM или MB81256/MB81257 DRAM). Это самый быстрый способ добиться стабильной работы 128k памяти.

С ру5-ми будет больше возни и наладка несколько сложнее.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 12 марта 11:12:57 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
а как на D4 подсоединить перемычки? посмотрел по схеме, 15, 14, 1 и 9 выводы соединяются вместе и подключаются к 8 выводу (масса). Но 10 вывод под самой микросхемой подключён к 9 выводу, т.е. тоже к массе. По схеме указано, что его нужно подключить к +5 вольтам, а в видео видно, что вы его припаяли на 16 вывод микросхемы. Его надо отсоединять от платы или нет?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 марта 02:05:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Я смотрел на 2-й вариант схемы. На первом варианте 10 вывод подключается всё-таки к массе, но 1 вывод используется для питания.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 марта 02:12:01 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, смысл всех этих манипуляций с D4, получить стандартную частоту строк в 15,625 КГц. Если есть чем частоту померить, снимать показания надо с 8-го вывода D40.

Я выводы 1,9,10,15 счетчика D4 не запаивал в плату, а просто укоротил их, соединив затем проводами – 1,9,15 к земле, а вывод 10 к +5В (на 16-й ноге D4 как раз питание).

На разных схемах по-разному эти выводы заведены, т.к. просто схемы составлены под разные кварцы. На моей плате установлен кварц в 14000 КГц. Так вот, если просто соединить выводы D4, по этой схеме http://www.cxemateka.ru/v1/Other.png, но без конденсатора 200 пФ, то частота строк будет примерно 16,200 КГц, с конденсатором же выходит около 15,625 КГц, как и должно быть.

Вот ещё немного теории из книжки «Ленинград-1 наладка и ремонт»:





И вот сама книжка:



Там есть некоторые ошибки и неточности, но в целом книжка дельная. Я по ней когда-то «Ленинград» изучал ;)

Полезный комментарий + 4 | Бесполезный комментарий - 0
вторник, 14 марта 18:58:07 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Я просто хочу подключить его к своему монитору - благо vga вход на нём имеется. Нашёл ещё, где строчный и кадровый синхроимпульсы смешиваются - в микросхеме D11 - они поступают на 12 и 13 выводы. Я взял свой vga-разъём, запаял в него выходы "rgb" с компа и с ног D11 взял строчный и кадровый синхроимпульсы. Подключаю и включаю - "квадрат Малевича" и больше ничего! Ни бордюра, ни вертикальных полос, ничего(
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 17:29:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
В любом случае, если не получится, Pal-кодер я всегда спаять успею-у меня парочка неисправных "sega mega drive" завалялась ;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 17:57:58 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Ещё одна проблема - нет кадрового синхроимпулься на 6 ноге D40. Возможно, не работает микросхема D6.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 21:48:41 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
А какая схема читалки с плёнки лучше та что в статье или на ЛН2 ?


Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 марта 23:27:32 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Александр, напрямую никак не получится Спектрум к VGA монитору подключить. Тут нужно что-то вроде этого: http://www.zxkit.ru/katalog-1/zxkit-001

А вот PAL-кодер от Сеги отлично подойдет. Сам когда-то успешно так подключал ;)

Может и D6, а может и нет. Надо проверять что там на входах и выводах этого счетчика.

Toboe, практика показывает, что оба варианта "читалки" со своей задачей нормально справляются (при правильной сборке, разумеется).

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 марта 10:09:41 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Спаял pal-кодер. http://i9.pixs.ru/storage/0/5/0/IMG2017031_2979120_25541050.jpg
Подключил к компу через плату видеозахвата.
При включении выдаёт вот такую вот "шляпу":
http://i9.pixs.ru/storage/1/0/1/IMG2017031_3662748_25541101.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 марта 12:36:47 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Тут одно из двух. Либо что-то не так с PAL-кодером, либо отсутствует синхронизация видеосигнала. Надо проверить наличие синхроимпульса на выводе 6 D11, наличие сигнала на выходе 11 D11, и еще раз проверить состояние кадрового и строчного сигналов – выводы 12 и 13 D11.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 марта 20:01:30 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Toboe
Собрал я значит себе тоже ленинградик, плату нашёл уже современную, модифицированную. Но там по мелочи как я понял она больше всего похожа на схему в этой статье (та что с зарубежными обозначениями микросхем). Ну и естественно она сразу не заработала (у меня всегда так =_=") На экране бегущие широкие чёрные полосы, как я понял нет сигнала КС. В блоке его формирования чёрт ногу сломит. В наличии нет осциллографа только мультик с частотомером до 20КГц. Непропаев вроде нету. Куда копать ХЗ.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 10:09:02 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, после сборки, хорошая тактика проверить сначала наличие питания на всех микросхемах – просто прозвонить по соотв. выводам. У логики DIP14: 7 - земля, 14 - +5В; DIP16: 8 - земля, 16 - +5В; DIP20: 10 - земля, 20 - +5В. У микросхем памяти: 8 - +5В, 16 – земля. Если всё запитано правильно, нужно проверить, не греются ли какие-нибудь микросхемы сильнее обычного. Если какой-то выход микросхем логики закорочен на питание, такие микросхемы будут очень сильно греться. И визуальный осмотр пайки тоже стоит тщательно провести. Я смотрю плату на просвет, используя яркую лампу. Так быстро можно устранить КЗ и прочие мелкие недочеты пайки.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 15:43:34 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Почти сделал. Надо было pal-кодер отрегулировать. Бордюр нормальный, серый и "матрас". Но матрас слишком яркий, на нём видны квадратики, бегущие вверх. И ещё он может не сразу появиться или вообще исчезает, оставив только серый фон бордюра. А когда выключаешь и включаешь, вообще матраса нету, только бордюр.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 19:41:33 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
А вот так матрас выглядит, если он появляется:
http://i11.pixs.ru/storage/3/0/7/IMG2017031_4313699_25559307.jpg
Ещё, если нажимать сброс, квадратики, или вообще весь матрас могут быть фиолетовыми а также изображение сразу после опускания кнопки немного дергается, как будто нет синхроимпульса в начале.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 20:08:42 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
Да питания я в первую очередь проверил, всё приходит. Микросхемы все холодные, ну только про чуть тёплый, и мультиплексоры входов. Но перегрева нет. КЗ тоже не обнаружил. Придется на работу тащить, без осциллографа тут не разобраться.
https://s8.hostingkartinok.com/uploads/images/2017/03/3bd6b8e373b67355be42c587d44aae55.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 марта 21:03:27 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
Решил проблему. Было КЗ между 7 выводом и дорожкой, идущей к 1 выводу микросхемы D7 (561ие10). Теперь "матрас" отображается стабильно, но изображение медленно идёт вверх, как бы намекая на слишком большой или слишком маленький кадровый синхроимпульс.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 20 марта 13:18:28 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Товарищи, скоро я к вам присоединюсь, моя платка Ленинграда уже на подходе)))
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 20 марта 13:32:35 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Доработал видеовыход по вот этой схеме (2 вариант):http://zxbyte.ru/leningrad_video_out.htm Результат-на видео:https://youtu.be/-gdUXP5uVJo
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 марта 11:13:46 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
Уже всю плату изтыкал осциллографом. Сей час кручусь во круг триггера D8. Не понятна схема его подключения, по идее это обычный D-триггер с двумя асинхронными входами R и S. Но тогда его состояние будет изменяться от С и D входов только тогда когда на R и S будут одновременно "1", вход R притянут к +5, но S висит в воздухе, как он вообще работает. Как я понял он тут подключен как Т триггер, и должен менять состояние входов по спадающему фронту на С входе. У меня на С вход приходит "1" =, на входах D12.C приходят импульсы в одной фазе и немного разной длительности. На выходе D40.B (KS) висит "1" на входах 4,5 импульсы, на 3 "0". Есть вообще описание работы всей этой системы формирования частотной сетки?
https://s8.hostingkartinok.com/uploads/images/2017/03/900cef43d8de1c16b74371f7858c4756.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 марта 19:54:31 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
Ещё у меня процессор сильно греется. Возможно, работает на "последнем издыхании". Буду менять. И когда вставляю ОЗУ в несколько панелек, происходит срыв кадровой и изображение сжимается вдвое и начинает дергаться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 02:33:03 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, D8 тут включен, как делитель частоты пополам. Из известной книжки "Применение интегральных микросхем серий ТТЛ": "Для получения режима счетного триггера вход D соединяют с инверсным выходом триггера, в этом случае триггер меняет свое состояние на противоположное по спадам входных импульсов отрицательной полярности." А по факту при таком включении на выходе триггера будет сигнал частотой вдвое меньшей, чем на входе. Да, а когда сигнал S в воздухе, там единица по умолчанию.

На входе C (11-й вывод D8) очень короткий сигнал, его можно и не увидеть на осциллографе. Смотреть надо, что там на выходе D8. А вот на 3-й ноге D40 должен быть хорошо различимый сигнал. Я бы тут проверил правильность включения диода D1, и исправность конденсатора C2.

Александр, с видеовыходом, очевидно, всё в порядке. А процессор может греться не только по причине неисправности, а еще по причине КЗ одного или нескольких его выходов или же при конфликте на шинах (например, когда есть проблемы с включением или исправностью D37, D38 или D32). Стоит проверить, не греется ли еще что-то сильнее нормы.

Если при установке исправных микросхем возникают проблемы с картинкой, значит, просто не хватает мощности источника питания.

Да, а что касается старой платы на видео, то это похоже на вариант Пентагона 48k (судя по микросхемам), а как конкретно эта версия называется, не знаю - уж больно много клонов Спектрума в своё время было создано ;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 05:57:45 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Доброго дня всем! подскажите пожалуйста на самой плате Ленинграда слева вверху обозначение микросхем A2, A3 это что и для чего?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 14:29:28 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
romancha48k, A2 - 140УД6, A3 - 521СА3. Это микросхемы оригинального узла чтения с магнитофона. Рекомендуется их не устанавливать, а собрать "читалку" на 554СА3 или на 561ЛН2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 марта 16:20:09 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Toboe
Ну я предполагал, что выводы подтянуты к плюсу в нутри микросхемы, но можно было тогда и R не цеплять на плюс. Ну дак вот на выходе этого триггера у меня ни каких импульсов нет, все соединения до других микросхем проверил. Конденсатор живой диод стоит правильно, только я не понимаю как они могут влиять на на формирование сигнала на выходе D8. Это же цепь формировани int. Другой триггер в этой же микросхеме работает нормаль, и на входе импульсы и на выходе. Вообще чудеса какие-то.
Полезный комментарий + 0 | Бесполезный комментарий - 1
среда, 22 марта 17:10:19 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Toboe, во всей ТТЛ логике единица по умолчанию считывается с входов, хотя оставлять их в воздухе, конечно, не совсем правильно. Вот тут об этом можно почитать:
http://zxbyte.ru/logic_tester.htm

Как вариант, можно завести вывод 10 на плюс принудительно (на некоторых платах так и сделано). А если это не поможет, то можно, например, для проверки, навесным монтажом подпаять другую микросхему ТМ2, соединив её выводы 8/12 между собой, 10/13 на плюс и вход 11 с выводом 8 D12. Если "навесной" триггер запустится, тогда либо микросхема D8 все-таки неисправна, либо где-то КЗ. Да, а что касается D1 и C2, то они соединены одновременно с выходом и входом D8, т.е. в теории могут препятствовать запуску триггера при неправильном включении или неисправности.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 марта 11:22:00 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Приветствую. Вот уже что-то работает:

Image Hosted by PiXS.ru
Это был вариант без ЦП и ОЗУ. А вот вариант с ЦП:Image Hosted by PiXS.ru
А вот так он работает с ЦП и ОЗУ, несмотря на то, что блок питания на 5 вольт у меня выдаёт приблизительно 6 ампер-это 30 Вт:Image Hosted by PiXS.ru
Подумываю над тем, чтобы взять питание с компьютерного блока, через один из molex-разьемов. И ещё-нет вертикальных полос на матрасе при работе только с ЦП.


Полезный комментарий + 0 | Бесполезный комментарий - 1
пятница, 24 марта 10:15:24 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё вопрос по ПЗУ на 27256-куда подключить выход A14?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 марта 19:07:39 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
Спасибо за ссылку, надо будет собрать такой тестер, а то у меня на мультике какой-то тупой и не удобный. А тут и без осциллографа можно сигнал смотреть. Микросхему перепаял, теперь кадровый синхроимпульс появился. Только это не помогло, почти ничего не изменилось =_=" видимо что-то ещё. Начал дальше копать обнаружил что на WAIT единица постоянно, там же вроде как должны быть импульсы. И сигнала WE нету... Неужели опять микруха причём такая же ТМ2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 марта 19:27:32 2017 | ссылка на пост    
Сообщений: 13
Автор: Алексей E-mail: alpsoid@mail.ru
У меня вопрос к Вам. По какой схеме подключали AY-3-8910?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 марта 16:37:12 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Александр, питание можно взять и с molex-разъема, только надо полярность 7-мь раз проверить, чтобы плату не пожечь )

В ПЗУ на 27256 лучше сразу прошить в нижнюю часть (#0000-#3FFF) бейсик 128K (SOS128) и в верхнюю часть (#4000-#7FFF) бейсик 48к (SOS48). Тогда в режиме Спектрума 48k вывод 27 ПЗУ (A14) нужно будет оставить заведенным на +5В, а в последствии при апгрейде памяти на этот вывод нужно будет подать сигнал выбора SOS128 со схемы расширения.

Toboe, сигнал WAIT формируется только, когда процессор выполняет код из оперативной памяти. При отсутствии ПЗУ или при выполнении кода из ПЗУ сигнал WAIT не активен. А WE будет активен при отсутствии ПЗУ, только если процессор нормально работает и шина данных стабильна. Т.е. если WE нет, возможно, процессор не запускается или виснет.

Алексей, тут я использовал уже готовую плату музыкального сопроцессора. Скоро опубликую следующий материал, к нему я приложу вариант схемы "музыкалки".

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 марта 14:19:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dmitriy
Здравствуйте!
Подскажите пожалуйста, при собирке ZX-NEXT возникла проблема - не проходит тест памяти
http://www.cxemateka.ru/img/?openimg=photo.jpg
хотя те же микросхемы на Ленинграде проходят тест на ура. В какую сторону копать?...

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 марта 22:13:18 2017 | ссылка на пост    
Сообщений: 5
Автор: Toboe
Можно как-нибудь однозначно проверить работоспособность процессора? У меня про нажатии на reset на выходе RD появляются импульсы причём не всегда, но с большой вероятностью. Соответственно этот сигнал попадает на линию выбора ПЗУ. В общем да проц зависает на самом старте. При этом все сигналы на линиях независящих от процессора, ну то есть там где формируется частотная сетка, я проверил, всё вроде норм. Может это быть проблема именно с самим процессором или всё же память. Когда запускаешь без памяти практически ничего не меняется. За исключением того что импульсы на RD появляются всегда после нажатия reset.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 20:14:30 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Dmitriy, первым делом питание надо бы проверить, возможно, просто не хватает мощности для запуска этой платы. Интересно было бы еще увидеть, как выглядит картинка на мониторе при отсутствии ПЗУ...

Toboe, проще всего проверять работоспособность процессора, запуская систему без ПЗУ. Если шина данных стабильна, процессор по циклу будет выполнять команду RST #38, а на адресной шине процессора будет цикличный перебор адресов, хорошо различимый осциллографом. И еще один момент, процессор не должен быть КМОП. В маркировке таких процессоров есть буква "C", например, Z84C00. С таким проблемы могут быть на плате со стандартной ТТЛ-логикой.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 21:27:57 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dmitriy
Питание с лабораторного блока на 5 ампер. На всех микросхемах ровно 5 вольт, потребление платы 780ма. Пробовал добавить вольтажа - становится только хуже. Без ПЗУ идеальный "матрас". Уже всю плату осциллографом затыкал, так ничего аномального и не углядел...
С системным ПЗУ стартует, 1982 Sinclair Researsh ltd присутствует на своём законном месте, ну и артефакты в виде горизонтальных полосок в рандомных местах... Очень похоже на нерабочее ОЗУ, но переставляю его в Ленинград - там всё отлично...

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 22:25:45 2017 | ссылка на пост    
Сообщений: 5
Автор: Dmitriy
И ещё момент если ставлю ру5г, то всё как описано выше. А если ру5в то надписей практически не видно, всё в артефактах. ру5в тоже оттестировано на Ленинграде.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 28 марта 22:31:30 2017 | ссылка на пост    
Сообщений: 5
Автор: Константин Айги (CXEMATEKA.RU)
Dmitriy, вполне возможно просто не хватает блокировочных конденсаторов на плате. Если это так, то будет видна небольшая "пила" на шине питания +5В на некоторых участках платы (при просмотре осциллографом).

И ещё, микросхемы РУ5 довольно капризны, в ZX-NEXT лучше использовать две линейки РУ7, а ещё лучше западные их аналоги - 41256/41257 DRAM или MB81256/MB81257 DRAM.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 марта 18:08:11 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dmitriy
Тоже думал про блокировочные, но осциллограф убеждает что по питанию всё ровно.

Заказал два десятка 41256, надеюсь на них нормально заведётся :)

Эта плата у меня с 1995 года пылилась в шкафу, тогда я даже блок видеопроцессора запустить не смог :( И если бы не ваше видео - то вообще забыл бы про неё ))
А сейчас, с осциллографом за 10 минут вычислил полудохлую лп5, после её замены вместо ожидаемого "матраса" получил "шахматную доску" и опять осциллограф в помощь - нашел дохлую кп11.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 29 марта 20:14:52 2017 | ссылка на пост    
Сообщений: 5
Автор: Александр
Ещё один шаг мне остался до конца! Подробности-на видео:
https://www.youtube.com/watch?v=I1U09rhedsc&feature=youtu.be

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 марта 22:36:03 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё - на видео вы ставили некие перемычки под версию 48к - на микросхеме d17 одну, затем на микросхеме d16 две, затем непонятно-одну или две- на микросхеме d9 или между d9 и d8. Для чего они нужны?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 марта 00:24:52 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Toboe
У меня обычный процессор, без буквы С.
https://s8.hostingkartinok.com/uploads/images/2017/03/8212e8098d0cedd31cc7481cfe04423f.jpg

Есть идея провеить проц собрав простую схемку: выводы NMI, INT, BUSR, RESET и порт D подтянуть к плюсу через резисторы,на CLC подать тактовый сигнал и посмотреть что там на порте A, по идее должен быть перебор. Я правильно понял, что при 1 на шине данных проц выполняет команду RST #38.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 марта 06:56:06 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Александр, на изображении атрибуты четко просматриваются, что не скажешь о пикселях. Я бы посоветовал временно отключить конденсатор в 510 пФ на ИР9. Его стоит подбирать, когда уже всё заработает, чтобы прорисовка дуг и окружностей была четкой.

А так всё выглядит неплохо, только процессор почему-то не запускается. Картинка на экране будет точно такой же и без процессора. Чтобы процессор заработал, как минимум должна быть частота 3,5 МГц на 6 ноге, RESET должен быть в четкой единице (когда кнопка не нажата) и шина данных должна быть стабильна - на всех восьми выводах (D0-D7) должна быть единица, когда процессор отсутствует или нажата кнопка RESET.

Toboe, тогда ещё WAIT надо к плюсу подтянуть. Да, при единице на всех разрядах шины данных процессор будет по циклу выполнять код #FF, т.е. RST #38.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 31 марта 19:09:43 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dmitriy
Запустил таки ZX-NEXT на ру5, заменил 555кп11 на 1533кп11 и всё заработало как надо :)
Теперь осталось контроллеры собрать )

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 31 марта 20:32:46 2017 | ссылка на пост    
Сообщений: 5
Автор: Константин Айги (CXEMATEKA.RU)
Dmitriy, наверняка какой-то из этих мультиплексоров был всё-таки неисправен )

Александр, а что по поводу тех перемычек, то я там делал временные соединения в местах оставленных для доработки памяти. Просто во время пайки версии «Ленинграда» 48k я некоторые контакты микросхем не впаивал в плату, а просто загнул их внутрь – это чтобы не резать дорожки потом при апгрейде до 128k.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 1 апреля 03:51:46 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: GoodBoy
Константин, а у тебя остались какие-нибудь контакты с Алексеем Летаевым ?
есть интерес к оцифровке его коллекции (если сохранилась) дискет

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 1 апреля 11:20:06 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
GoodBoy, мы с Алексеем с конца девяностых не общались – он на Амигу перешел, я на PC ) Если вдруг найду актуальные контакты, на счет дисков спрошу, самому интересно )
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 2 апреля 06:19:30 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: GoodBoy
понятно.
я с ним общался примерно в тот-же период.
он на квартиру родителей (в перово?) приезжал каждый день как на работу.
в 2006 (по рассказам знакомых) он ещё был на РадиоРынке, но я его не нашёл

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 2 апреля 11:41:36 2017 | ссылка на пост    
Автор: Роман
Всем Привет! Константин, подскажите, будет ли видна картинка при подключении R G B SYNC с платы Ленинграда48К к ПАЛ декодеру ( от nedopc ). На экране, отображается синий экран, как будто нет сигнала, но изредка врубается просто темный экран и все).
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 2 апреля 19:52:37 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
подскажите что это за клон спека?нужна схема.
20170403_140251.jpg
20170403_140245.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 апреля 14:08:40 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Константин Айги (CXEMATEKA.RU)
GoodBoy, я Алексея последний раз именно на царицынском радиорынке и видел, году эдак в 99-м. У меня есть контакты кое-кого из FFC, как-нибудь пообщаюсь, может у кого и актуальные контакты Алексея есть.

Роман, нужно видеосигнал «Ленинграда» приводить к стандарту. Во-первых, частота строк должна быть близка к 15,625 КГц, об этом тут:
http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Во-вторых, возможно придется ввести привязку к уровню черного. Как привязку ввести, подробно рассказано тут:
http://zxbyte.ru/leningrad_video_out.htm

Александр. Ух ты! Интересная плата ) Что это не знаю, но можно попробовать считать, что там в ПЗУ записано. На некоторых клонах были свои оригинальные прошивки, возможно в ней и будет ответ на вопрос "что это?" )

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 3 апреля 22:22:06 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
К сожалению не на чем прочитать пзу !?
еще буду оживлять компаньона
20170403_225703.jpg20170403_225738.jpg
еще есть такая плата,что если из нее вырезать память, там на ру6 ,и подпаять проводами,так сказать для увеличения памяти,может получится?
20170403_225923.jpg20170403_225935.jpg20170403_225952.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 апреля 23:13:04 2017 | ссылка на пост    
Александр
Сообщений: 18
Автор: Александр
Всё пропало((( Кадровый генератор накрылся. Я уже всё менял - и счётчик D6, и триггер D8, и входные микросхемы D12 и D13, и выходные D40 и D11 - ничего не работает((( На 8 ноге триггера D8 я обнаружил вместо импульсов низкий уровень сигнала. Через тестер звонится на массу с сопротивлением где-то 40 Ом. Странный ещё эффект при этом - звонится при включённом компе, т.е. если выключить питание, то сопротивление снова становится нормальным, что говорит о том, что триггер рабочий и проблема со входами. Но на входах я всё поменял и где эта проблема, я знать не знаю(((
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 апреля 01:56:16 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vadim
Александр. На 13 и 1 выводах D8 лог. "1" присутствует? Что с сигналом /БС (/BS 5 вывод D8)? На 12 выводах счетчиков D3, D4 и 6 выводе триггера D2 импульсы есть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 апреля 18:39:18 2017 | ссылка на пост    
Сообщений: 51
Автор: Александр
На 13 и 1 "единица" есть, сигнал БС идёт, где-то в районе 15,5-15,6 кГц. А вот на 12 выводах вместо импульсов постоянное напряжение 4,2 вольта. На 6 ноге триггера D2 - напряжение 1,6 вольт. И тоже импульсов нет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 апреля 21:22:09 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vadim
Чем частоту мерили? На D2 7 МГц должно быть. На 12 выводах импульсы будут кратковременные, при условии работоспособности задающей тактовой цепочки.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 апреля 21:58:32 2017 | ссылка на пост    
Сообщений: 51
Автор: Vadim
Проверьте заодно и счётчики D5 и D6 на выводах 3,2,6,7.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 апреля 22:44:58 2017 | ссылка на пост    
Сообщений: 51
Автор: Александр
На выводах 3,2,6,7 нет ничего. А про частоту 7 МГц я первый раз слышу. Да и у меня проверить такую высокую частоту пока нечем. Уже вторую неделю жду частотомер на 50 МГц с Али.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 06:59:03 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vadim
Проверяем живы ли счётчики D5, D6. На 5 выводе D5 должен быть сигнал БС 15,6 кГц. На 11 выводе лог. "1". На 4 выводе "висящая" лог. "1". И само собой питание на 8 и 16 выводах.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 09:13:39 2017 | ссылка на пост    
Сообщений: 51
Автор: Александр
Всё есть. На 5 вывод подаётся сигнал БС, на 11 выводе есть логическая единица напряжением 4,2 вольта, на 4 выводе есть тоже напряжение, которое колеблется в районе от 2,3 до 2,5 вольт. Питание присутствует.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 17:45:20 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vadim
В таком случае счётчик D5 не рабочий. При наличии всех перечисленных сигналов на его выводах на выходах 3,2,6,7 должны быть импульсы. Можно подтянуть через резистор на 4 вывод +5В и если ничего не изменится, то счётчик однозначно под замену.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 18:18:51 2017 | ссылка на пост    
Сообщений: 51
Автор: Vadim
На 14 выводе 0?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 18:29:15 2017 | ссылка на пост    
Сообщений: 51
Автор: Александр
Александр
Сообщений: 121
Автор: Vadim
Должен быть 0 проверяйте, почему нет контакта с GND.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 19:43:26 2017 | ссылка на пост    
Сообщений: 51
Автор: Александр
Запаяю напрямую через провод, если нет контакта!
Проверять не стоит, плата уж больно "убитая"))

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 19:45:19 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Ну и дела... Только что проверил, оказывается, что не только на 14 нет массы, но и на 15 и даже на 10 выводах, т.е. масса вообще не подаётся на нужные входы микросхемы, несмотря на то, что на 8 выводе она есть.
Полезный комментарий + 0 | Бесполезный комментарий - 1
четверг, 6 апреля 19:49:09 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Один вопрос - КАК ЭТО НАЗЫВАЕТСЯ???!!!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 19:49:58 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Ндаа Александр ... у меня тоже запуск в тупике, ищу осциллограф)
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 21:18:00 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Vadim
Во втором варианте схемы с сайта zxbyte ошибка на этом участке схемы. Выводы 11 D5 и D6 соединены с 12 и 8 выводами триггера D8, а должно быть как в схеме вариант 1 к 11 выводу D8.
Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 6 апреля 21:59:12 2017 | ссылка на пост    
Сообщений: 51
Автор: Роман
Всем привет! Скажите пожалуйста, как все таки делать настройку D4 для кварца 14 МГц , как в брошюрке по наладке "H-1;15. L-10;9;14." Или же как писал Константин..."Я выводы 1,9,10,15 счетчика D4 не запаивал в плату, а просто укоротил их, соединив затем проводами – 1,9,15 к земле, а вывод 10 к +5В (на 16-й ноге D4 как раз питание"
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 9 апреля 22:22:59 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Vadim
Здравствуйте! Настройку необходимо делать с частотомером или осциллографом. Смысл всех манипуляций добиться частоты 15625 Гц для сигнала БС (/BS). Теоретически по схеме получаем следующее:
1) на 6 выводе D2 (5 вывод D3), выполняющего роль делителя на 2 - 7 МГц (14/2 = 7);
2) на выводах счётчика D3: 3-ем 3,5 МГц (7/2 = 3,5), 2-ом 1,75 МГц (7/4 = 1,75), 6-ом 875 кГц (7/8 = 0,875), 7-ом 437,5 кГц (7/16 = 0,4375);
3) на 5 выводе триггера D8 (делитель на 2) формируется сигнал BS, соответственно на его тактовый вход 3, должен приходить сигнал с удвоенной частотой 31250 Гц (15625*2 = 31250);
4) таким образом счётчик D4 должен давать коэффициент пересчёта 14 (437,5/31,25 = 14), для чего необходимо сделать предварительную загрузку числа 2, что для двоичного счётчика будет соответствовать коэффициенту 14 (16-2 = 14).
Всё так гладко в теории. В реальности частота кварца и стабильность частоты тактового генератора могут отличаться от расчётных, поэтому необходима калибровка. Без измерений гадание на кофейной гуще.
Единственное, что можно попробовать сделать без приборов добавить ёмкости на выводы 12 D4 и 11 D6, как написано в брошюрке по наладке и приводится на схемах у Константина http://www.cxemateka.ru/v1/Other.png. Схема включения D4 по умолчанию, как для кварца 14 МГц.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 10 апреля 12:04:34 2017 | ссылка на пост    
Сообщений: 51
Автор: Vadim
Честно говоря, я бы попробовал стабилизировать частоту следующим образом:
1) D4 включить как по схеме для кварца 14 МГц;
2) отключить 5 вывод D14 от D8 и подключить к нему GND.
Если кому не сложно проверьте.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 10 апреля 15:19:41 2017 | ссылка на пост    
Сообщений: 51
Автор: Роман
Вообщем наверное на месяц отложил Ленинград, на Алике заказал осциллограф) буду ждать!
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 11 апреля 17:47:36 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Всё! Плата распаяна. Надоело мне целый месяц сидеть и ремонтировать его. Заказал на meshok.net конструктор Ленинград48 за 2100р. Там и плата, и детали новые.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 13 апреля 00:24:48 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Александр привет! Платку наверное из Хабаровска заказал? Ели да, то как приедет пожалуйста сфоткай плату с двух сторон, а то я там же заказывал недособранный Ленинград, и теперь разбираюсь... где дорожки порезаны...
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 14 апреля 14:27:59 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
http://www.picshare.ru/uploads/170419/r4o4XB2VEB.jpg
И ещё: http://www.picshare.ru/uploads/170419/r4o4XB2VEB.jpg
Как ни странно, улучшения есть, но их мало((. 10-я нога D4 подключена к +5В, но 1-я нога тоже подключена к +5В, вместо массы. Нет заявленных мест под установку сопротивления и конденсатора на ИР9 и дополнительного сопротивления между 3 и 4 ногой D1. Зачем-то на монтажное поле ещё поставили место для другой читалки - 561ЛН2. Ну, а в целом, плата добротная, и слишком уж серьёзных недостатков не обнаружено.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 19 апреля 08:41:49 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Спасибо за фото, вижу что моя отличается. Ждем первых комментариев по запуску)
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 19 апреля 10:57:00 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
А вот и запуск - после полтора месяца ожидания:
https://youtu.be/wqgg_pqSVi0

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 21 апреля 16:23:43 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Поздравляю! вот думаю может и у меня проблема с напряжением... на микросхемах 5,05 вольта, и ничего не показывает) а дорожку 1 D4 резал от +5в.? Еще вопрос а если с точки V и GND(-5В) кинуть на вход AV может показать картинку?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 апреля 07:23:46 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Да, резал и кидал на массу, как по схеме Константина. Насчёт точки V - проверял, только вместо 5 вольт подавал массу- ничего нет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 апреля 13:16:52 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Александр, слушай, у меня с-1-й D4 запитывается транзисторная сборка, +5в идет на коллектор. Я тоже резал 1 дорожку от +5, и резал от коллектора. Отдельно +5 вешалка на транзисторную сборку. А у тебя как? Может фото обратной стороны сделаешь?заранее спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 апреля 17:30:29 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Собрал схему читалки на 561лн2 - плохо работает - постоянно на загрузке вылетает и пишет про ошибку, причём вылетает в разное время - может и 5 секунд, а может и 2 минуты погрузить нормально.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 апреля 21:44:33 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Насчёт фото задней стороны -
А вот что насчёт читалки - собрал по схеме Константина на 554са3 - такая же фигня с загрузкой:

Да, ещё - у меня очень много рабочих микросхем памяти ру5 оказалось, большинство из них с буквой "Д". Тут уже обсуждался вопрос напайки вторым этажом для расширения памяти вместо установки оригинальных ру7. Как мне это сделать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 апреля 23:05:16 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vadim
Если чтение с магнитофона, то берём отвёртку и подстраиваем головку магнитофона на отчётливый (наиболее громкий) звук при воспроизведении кассеты с загружаемой программой.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 апреля 23:33:01 2017 | ссылка на пост    
Сообщений: 51
Автор: Александр
Я использую андроид-смартфон с программой "teezix".
Громкость и так на максимуме. Мне кажется, что дело не в громкости.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 апреля 23:44:16 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
УРААА!!! Заработало. Вместо программы teezix использовал другую - тоже рекомендованную Константином - tzx2wav. Сконвертировал файл, перенёс на смартфон и запустил через плеер. Сразу стали видны изменения по красно-бирюзовым полосам на бордюре сначала - они, вместо того, чтобы медленно идти вниз, уверенным быстрым ходом шли вверх и стали немного тоньше, чем в предыдущий раз.
Остальные подробности на видео, которое я скоро выложу.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 26 апреля 00:27:14 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Roman
Ностальгия... в своё время был у меня компьютер ZX правда русской сборки на микросхеме КА1515ХМ1216, грузились игрушки с кассетного магнитофона, потом мне приятель подарил плату BETA 2+ для подключения дисковода (на этой плате уже был и контроллер на КР1818ВГ93 и музыкальный сопроцессор YM2149F, но на то время с паяльником я только начинал знакомство и мне эту плату на Воронежском "труде" за денежку припаяли к плате компьютера. а дальше мои пробы поместить пару этих плат в какой нибуть корпус, отделив клавиатуру УВЕНЧАЛИСЬ тем что я все это уронил и платы успешно оторвались друг от друга.... комп был заброшен а через несколько лет я обнаружил эти пару плат совсем раздербанеными, кто то пооткусил все КМ с платы компа (точнее этого кого то то я знаю, но не о том).... есть ли возможность с вашей помощью попытаться реанимировать этот комп, как первый комп детства. (кстати подскажите как вставить картинки я сфоткал эти платы...
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 26 апреля 22:11:19 2017 | ссылка на пост    
Сообщений: 4
Автор: Toboe
Да ну нафиг! У мя таже проблема с напряжением, по этому процессор и не запускался. Пара проводов и резистор всё заработало, появились долгожданные вертикальные полоки, а я уже думал проц нерабочий. Так и знал, что ну нельзя просто так без перемычек запустить Ленинград! Надо написать автору модифицированной платы, пусть дорабатывает, а то он утверждал что никаких перемычек ставить не надо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 апреля 14:20:17 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
У вас, наверное, тоже стандартный ATX блок питания, да? Они выдают не такое стабильное, как кажется, на первый взгляд, напряжение. Я у себя мерял, перед установкой модификации, выдаёт примерно 4,8-4,9 вольт. А про модификацию было написано, что для процессора требуется минимум 5,2 вольта.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 апреля 17:39:09 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Но там дело не с питанием процессора, а с мощностью сигнала тактовой частоты - из-за низкого напряжения он слишком слабый и процессор его "не видит", поэтому и не запускается.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 апреля 17:41:33 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vadim
2Roman. Фото можно загрузить на fastpic.ru (или подобный хостинг), а затем вставить одну из предложенных ссылок в сообщение.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 апреля 23:17:43 2017 | ссылка на пост    
Сообщений: 51
Автор: Toboe
Да я и от лабораторного блока питания запитывал, там ровно 5в, но этого как видимо недостаточно. Да я понял что всего-лишь амплитуды тактового сигнала не хватает.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 апреля 02:31:15 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
И снова к разговору о увеличении памяти - можно ли вместо одной ру7 поставить две ру5? И как это сделать?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 апреля 14:20:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Roman
Парни ну гляньте пожалуйста:
Вот контроллер - http://www.cxemateka.ru/img/Roman/590380cd.jpg?1493401823

А вот Сам компьютер http://www.cxemateka.ru/img/Roman/photo.jpg?1493401693

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 апреля 20:52:44 2017 | ссылка на пост    
Сообщений: 4
Автор: Роман
Всем привет! Всегда заглядываю сюда, рад бы помочь, но не силен в этих железяках, сам изучаю. Могу только подкинуть вопрос Александру. Александр, никак не запускается, и делал дорабортку перемычку с D34 на D2, только резистор ставил на 415 ом( думаю не критично). А что у тебя за проводки повешены с обратной стороны на D4.2 D4.3 и на D36.15 что то? Заранее спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 апреля 12:09:40 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
К D36 и D4 идут проводки от вот этой доработки видеосигнала(2 вариант):http://zxbyte.ru/leningrad_video_out.htm#2 А насчёт запуска, проверь питание на всех микросхемах и замерь частоту на 6 ноге процессора. Она должна быть 3,5 МГц.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 апреля 13:20:28 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: kanifolkin
имеется плата дл ясоздания спектрума, желающим могу переслать за символическую плату.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 30 апреля 12:22:47 2017 | ссылка на пост    
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Александр, можно поверх основной линейки РУ5, напаять вторым этажом дополнительный ряд из 8-ми микросхем.

Спаиваются все выводы кроме контакта 15:



Выглядеть в итоге это будет примерно так:




Ну и схема доработки будет немного другая:


Полезный комментарий + 4 | Бесполезный комментарий - 0
понедельник, 1 мая 11:11:25 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Roman, контроллер такой подключить несложно, но желательно иметь распиновку выводов разъема этого контроллера.

Если распиновки нет, можно и прозвоном выяснить куда какой контакт нужно заводить. Ну, например, выводы 30-37 музыкального сопроцессора точно идут на шину данных в порядке D7-D0, a на вывод 23 заводится RESET и т.д. )

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 1 мая 11:20:29 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Roman
Константин, том то и дело что никаких доков нет вообще только эти две голые платы., тут бы вначале опознать саму плату компьютера, найти её схемку, а потом пробовать подключать контроллер....
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 мая 13:06:38 2017 | ссылка на пост    
Сообщений: 4
Автор: Роман
Ммм, пока что ничего не запустил, подскажите, записываю от ATX блока, вроде как замеряю напряжение, показывает 5,1 вольта, а при подключении к плате Ленинграда, напряжение на микросхемах по 4, 6 - 4,7 вольта, из за чего так может? Ни чего не греется, кз нет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 14:18:08 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Хотя нет, показывает 4,79 вольта. А частоту на процессоре 6 ножка, показывает ноль( может кварц поменять
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 14:45:50 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Vadim
Питание на D2 есть? На 5 и 9 выводах D2, какая частота?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 17:56:15 2017 | ссылка на пост    
Сообщений: 51
Автор: Роман
Питание есть 4,73 В. на 5 и 9 выводах частоту не показывает, 0.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 18:26:06 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Vadim
Тогда проверить питание на D1. если есть, то можно и кварц заменить.
p.s. А у приборчика потолок частоты в МГц какой?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 19:12:39 2017 | ссылка на пост    
Сообщений: 51
Автор: Роман
На D1 питание есть, кварц уже поменял и ничего(. Осциллограф на али заказывал, вот такой ISDS205B, проверял на пал кодере от nedopc мерял частоту кварца, там показал все четко, а на платке Ленинграда ни в одной точке ни чего не показывает, беда.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 19:46:49 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Vadim
Обрыва GND нет?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 20:45:04 2017 | ссылка на пост    
Сообщений: 51
Автор: Роман
обрывов нет не по +5, не по GND. Может все таки из за такого напряжения вся проблема.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 21:05:47 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Vadim
Заменить источник питания и будет видно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 4 мая 21:27:57 2017 | ссылка на пост    
Сообщений: 51
Автор: Роман
Всем доброго дня! С наступающим праздником 9 мая!!! Ну а теперь опять к нему, покоя не даёт, ленинградику) а может ли если нет генерации импульсов( ни частоты ни синуса на 6-й ноге проца, да и на самой D1)проблема с м/с D1 ЛН1, т.к. Кварц уже менял, нельзя ли как нибудь Ее проверить без другой такой? При подаче питания не греется.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 8 мая 16:14:44 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
О Боги, есть синус на D1!!! Даже 3,5 МГц на проце! Картинки нет, ну это ещё без доработки) кстати не поделитесь доработкой видеовыхода 2 й способ, а то zxbyte.ru что то не грузится уже 2й день (
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 8 мая 19:05:17 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Андрей
Роман, держи. У меня почему то не заработала. Возможно где то напутал.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 мая 01:58:39 2017 | ссылка на пост    
Сообщений: 1
Автор: Аноним
Фотохостинг yapx.ru
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 мая 17:25:31 2017 | ссылка на пост    
Автор: Roman
Ребята, мне помогли опознать что за плата компьютера у меня имеется, если у кого совершенно случайно есть схемы на

Radon Plus

поделитесь пожалуйста кому не жалко........

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 мая 18:09:20 2017 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
Roman, тут есть наиболее близкий вариант схемы:
http://sblive.narod.ru/ZX-Spectrum/PLM-X/PLM-X.htm

А в хорошем качестве тут:
http://sblive.narod.ru/ZX-Spectrum/LUT216/LUT216.htm

P.S. А Radon Plus построен совсем по другой схеме...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 13 мая 07:20:17 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Всем привет товарищи! Надеюсь что темка еще жива. Вот выложил запуск (уже что то, до этого был просто темный экран) https://youtu.be/17DdnQdAQM8
Доработку видеовыхода еще не делал. Собственно посоветуйте в какую сторону копать, для стабилизации картинки? Или же без доработки видеовыхода нет смысла что то искать? Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 24 мая 15:36:43 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, без доработок у Ленинграда видеосигнал нестандартный будет.

Как минимум привязку к уровню чёрного надо вводить. Ну и желательно частоту строк привести к стандарту, но это дело второе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 25 мая 08:40:38 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Может глупый вопрос, но все же спрошу. По доработке видеовыхода с сайта zxbyte.ru 2-й вариант, я так понимаю на схеме не указано, на дополнительные ЛА1(D```.1), ЛИ1(D``.1) и ТМ2(D`.1-2), нужно подавать на контакты 14, 7 питание +5 и -5В соответственно?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 26 мая 19:33:12 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, это само собой ) TTL логика запитывается стандартным образом, поэтому на схемах эти соединения не отображают.

Я, кстати, собирал как-то эту доработку – заработала. Только вместо ЛА1 я использовал ЛА4, что логичнее, ну и конденсатор понадобился между землей и выводом 4 ТМ2.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 05:41:52 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин спасибо! сделал все как предложили (заменил ЛА1 на ЛА4). Вот выложил видео
https://youtu.be/TA_NzkjjhTQ
Пока стабильной картинки не увидел, однако в момент включения выключения провода SYNC (с платы Ленинграда) видно как кратковременно отображается нормальная картинка.Что посоветуете? Может требуется задержка сигнала синхронизации...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 10:11:00 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Ммм... или не задержка сигнала), а как нибудь поколдовать с SYNC!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 10:25:31 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Правда конденсатор между 4 и землёй не ставил.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 27 мая 14:46:25 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Замерял KS на D40.6 период 20 мс, SS на D40.8 период 64 мкс...
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 мая 11:41:28 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, а вывод на экран идет через PAL-кодер?

Кодер будет покапризнее по отношению к частотам строк и кадров. А вот при подключении через SCART после введения привязки к чёрному, обычно проблем с картинкой не наблюдается, даже при не совсем стандартной частоте строк (зависит, правда, от телевизора).

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 мая 13:54:54 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин, подключаю через PAL кодер от Nedopc
На сайте zxbyte.ru также нашёл информацию о том что наряду с доработкой видеовыхода для тв тюнеров и пал кодеров требуется уменьшить длительность синхросигнала. Вот только как ту схему наложить на доработку видеовыхода...не пойму. Встречал в инете что можно уменьшить синхросигнал вторым этажом поверх D40 (http://xlat.livejournal.com/581417.html), но там тоже без подробного описания. Жду из Китая модуль для подключения к монитору GBS8200.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 мая 14:21:18 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Всем привет! Давненько я не заходил сюда! Всё ждал микросхемы памяти из Китая. Они пришли, я доработал до 128к и выложил видео об этой доработке: https://www.youtube.com/watch?v=uLoI70-56Wc&feature=youtu.be
P.S. У меня ещё все инверторные входы-выходы на D1 и D34 были заняты двумя доработками, в частности доработкой видеовыхода (2 вариант с zxbyte.ru) и доработкой сигнала тактовой частоты на ЦП, поэтому я напаял вторым этажом отдельную, так называемую eD8 - к555лн1 и при доработке использовал её инверторы.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 31 мая 20:29:17 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
P.P.S. Склоняюсь к тому, чтобы сделать буферизацию RAS - сигнала и провести коррекцию дешифрации портов ввода-вывода.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 31 мая 21:12:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, сигнал OUTIORQ вводится для нормальной работы контроллера дисковода. В отсутствии контроллера дисковода элементы eD7.3 и eD7.1 будут работать просто как повторители сигнала (резистор, подтягивающий сигнал выбора TR-DOS к плюсу, должен обязательно присутствовать). А 6-й вывод eD3.2 должен в любом случае оставаться подключенным к D14-13.

Сигнал RAS трогать не стоит. Тем более что эта фирменная память очень надежна. А вот дешифрацию портов ввода-вывода сделать будет надо, но для начала рекомендую разобраться с тестом памяти.

По какой-то причине программа теста зацикливается на начальном этапе работы. Причин может быть несколько:

1. Программа прошита с ошибками. Проверить легко, если установить другую прошивку, например стандартный бейсик 48k. Если стандартная прошивка работает, то с большой долей вероятности проблема в самой прошивке теста...

2. Доработка собрана с ошибками, причем проверить стоит в первую очередь прохождение адресных сигналов A1, A14 и A15. Нужно убедиться, что они заведены правильно и, что важно, не замкнуты с чем-то посторонним. Сбой в работе теста может быть вызван именно замыканием на адресной шине.

При правильной сборке доработки, этот тест будет работать без всяких зацикливаний даже при напрочь убитой памяти )

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 08:37:19 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Роман, рекомендую еще проверить частоту строк:
http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Кстати, что любопытно, картинка, полученная при правильном подключение через SCART у меня лучше, чем через китайскую плату GBS8200 (у меня имеется такая).

А вообще, планирую разработать своё устройство для подключения клонов Спектрума к современным экранам. План уже готов, скоро начну экспериментировать в этом направлении )

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 1 июня 08:46:47 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин спасибо за советы! На D40.8 частота 15,625 Khz, правда иногда проскакивает 15,385... это не критично же?..Вот, приехал GBS8200, подключив получил следующее https://youtu.be/lFEDHlqTSOQ
Правда чтобы получить картинку пришлось подредактировать, посредством настроек платы, сдвинул вправо, чуть вниз, подкорректировал яркость и контраст и вот он матрац, вроде прикольный такой) Про качество GBS, да действительно как то не очень отображается, все таки шумы все равно присутствуют на экране.Ну да ладно, пока и так сгодится. Следующим шагом проверка с ПЗУ,https://youtu.be/LarSpXMyfek
Вот теперь думаю, или ПЗУшка как то криво зашита (прошивал продавец, наверное) или вообще не была прошита, или же может что нибудь с процессором. Можно ли как то проверить работу ПЗУ?... или все таки только программатор в помощь))) На счет устройства подключения к мониторам, очень актуально!!! Ждемс:)))

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 17:49:46 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Довольно странно видеть для меня, что 48к прошивка работает на доработанном до 128к компе - но она работает!!! В теории я думал, что после доработки до 128к прошивки с 48к работать не будут. Отказалась работать только одна из всех имеющихся 48к прошивок - записанная на км573рф8а. Остальные были записаны на 27c256 и ещё одна на 27с512. Они заработали. А насчёт битого теста-не знаю. Человек мне записал её на 24-пиновую EEPROM, а не на 28-пиновую. Я же её подсоединял так же, как и тест 48к (он тоже был записан на 24-пин), но 48к тест запускается после доработки, что тоже странно. Ещё я обнаружил на плате ошибку - вместо массы на 20 ногу микросхемы памяти подавался сигнал RDROM прямо с 3 и 4 ног микросхемы D12. Ещё я заметил, что доработка на видео немного отличается от доработки на самих схемах - вместо того, чтобы по схеме подцепить первый вывод eD7 к её 10 выводу, вы его подцепили изначально к 4 выводу eD5.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 21:07:45 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Готов сделать предположение, что проблема в шине A1, поскольку из-за 24 пинов вместо 28 пинов тест-микросхема не использует шины A14 и A15.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 21:56:08 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Роман, именно так, картинка с GBS получается шумноватой и это проблема именно GBS, а не "Ленинграда".

Тут, скорее всего, неисправна одна из микросхем памяти (на шине D1, судя по картинке). Рекомендую поменять D22 (565РУ5).

Александр, если стандартная прошивка работает, то наверняка тест прошит с ошибкой или контрольная сумма прошивки плавает, т.е. сама микросхема сбойная.

Поскольку бейсик 48к работает, то можно с ленты тест загрузить, чтобы проверить правильность доработки:
http://www.cxemateka.ru/ftp/test_v4.30r.tap

А RDROM может быть и на 20-ю ногу ПЗУ заведен, логику работы это не меняет.

Кстати, многие программы для Спектрума 128к будут работать и со стандартной прошивкой 48k (при правильно собранной доработке, разумеется).

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 июня 23:02:58 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Провёл тест. Пишет порт 31 (кемпстон) не реализован
Тест порта #FF Порт атрибутов не реализован
Тест портов расширения порты отсутствуют
Тест порта #7FFD Порт на чтение недоступен
Тест Шина данных стабильна в цикле подтверждения прерывания содержит #FF
Далее нажал enter Вывел мне это:
System configuration:
Computer: Spectrum\48k\Z80
AY-chip: Absent
Mouse: Absent
Cmos clock: Absent
Sound card: Absent
Modem: Absent
Takt\INT: 65184, V=99.750994%
Lines on screen: 291
Interrupt vector: #FF
Turbo regime: Off
Shadow RAM: Absent
Length of INT: 60 cycles
Low mem speed: 69892-66391
High Mem speed: 69892-66391
У вас общее поле памяти с торможением.
Нажал снова enter, он мне написал "Дверь закрой!" Это шутка?))
Нажал ещё раз:
Тесты стабильности шины данных TR-DOS'a и позиционирования пропущены.
Тест порта #FE (клавиатура) Порт в порядке
Тест порта #FD (системный порт) 48к однако...
Тест регистров музпроцессора Таковой в системе отсутствует...
Дальше не имеет смысла писать, он там дальше проводит тест на наличие мышки и всё, вроде бы.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 июня 02:19:12 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Неужели всё так плохо с прошивкой? Этот человек ещё мне присылал помимо теста прошивку 128к на 27c512. Я лично указал, какую надо записать - с этого сайта. Сейчас её включаю и она, как ни странно, тоже не работает, выдавая мне вот такую ерундистику: Image Hosted by PiXS.ru
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 июня 02:33:06 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Константин, D22 попробовал заменить, ничего не изменилось:( даже все РУшки пробовал менять, стабильно ничего. У продавца платы сегодня узнал что ПЗУ все таки прошитая... что ж буду дальше искать! Если что посоветуете ещё буду очень благодарен!:)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 июня 18:23:02 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Александр, да, тестовая прошивка явно нерабочая.

А вот системный тест 4.30 расширенной памяти не видит, значит есть какие-то ошибки при сборке доработки. Тест, кстати, дельный, хоть и, да, не без встроенных шуток )

Прошивка для Спектрума 128к и не будет работать, пока не заработает порт #7FFD. Работу порта легко проверить из бейсика 48к. Достаточно ввести команду:
OUT 32765,31

Если после ввода этой команды система сбрасывается и выходит в черный экран, значит порт #7FFD работоспособен. Если же нет, тогда нужно проверять прохождение сигналов IORQ, WR, A1, A15 вплоть до 9-го вывода eD1.

Роман, если микросхемы памяти рабочие, то надо проверять нет ли где замыканий особенно на выходах РУ5 (выводы 14, сигналы MD0-MD7). Также под вопросом D32. Но вообще, похоже, что где-то все-таки замыкание.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 06:19:00 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Константин, осциллографом посмотрел D32.11 - 1,71 Mhz, осциллограммы похожи с осцилограммами в примечании книжки по наладке. На РУ5, D2+(1до8).4 - 3,51 Mhz., на 4-х и 15-х выходах также сверял осциллограммы, по ним все Ок. На 15-м выводе РУ5 частота прыгает 3,51 на 1,7 и обратно. Также в брошурке вычитал "...для контроля и попытки вывести ZX в монитор следует в пределах 4,8-5,3В. изменять напряжение питания.". Опять к питанию, замерил напряжение на D32- 4,6В. Может из за питания?! Доработки по питанию еще не делал на D34 - D2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 14:42:35 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Роман, 4,6В это очень мало для "Ленинграда". Желательно, чтобы на всех участках платы напряжение питания было не ниже 5,0В. А при напряжении в 4.6 вольт система просто обязана глючить )
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 17:27:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман
Ок! Тогда бегу в магаз за трансом и паяю бп) Однако меня не покидает мысль почему же бп ATX не справляется((( Хотя Александр запускал от такого же с доработками.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 19:16:06 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
Имеет значение надежность разъема питания и толщина проводов. Иногда, в зависимости от качества платы, дублируют толстыми проводами шины питания от одного края платы, до другого. Но это в совсем запущенных случаях.

Для Спектрума лучше использовать современные импульсные блоки питания, они, как правило, и мощнее и надежнее, чем линейные. Хотя, вот у меня есть старенький линейный блок питания от клона "Дельта-С", так он вполне тянет "Ленинград" 128к с музыкальным сопроцессором, но, правда, без контроллера дисковода.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 июня 20:51:53 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Роман, мне просто повезло с БП. Не все ATX блоки способны выдавать стабильное напряжение. Сказывается на этом не только фирма-изготовитель, но и "возраст" блока.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 4 июня 03:18:07 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Алексей
А скажите пожалуйста, какой размер у этой платы ?
На сайте для заказа платы нужно указать размер, а по ссылкам на sblive.narod.ru я что-то не нашёл нигде.

Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 7 июня 18:00:18 2017 | ссылка на пост    
Сообщений: 3
Автор: Александр
Моя плата изготовлена по образцу оригинала. Размер:
20х11,8см.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 8 июня 18:43:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Алексей
Ещё вопрос, начал искать микросхемы памяти.
Есть просто 565РУ5, есть КР565РУ5Г, КР565РУ5В и ещё несколько вариантов.
Разница в цене там до 10 раз.
Можете ли сказать, какая между ними разница и какую серию стоит выбирать ?

Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 10 июня 13:55:51 2017 | ссылка на пост    
Сообщений: 3
Автор: Андрей E-mail: Alexflexo@ukr.net
Алексей:Разницы практически никакой, литература не советует брать с буквой Д, хотя я видел микрухи с такой буквой в нескольких Ленинград-1 и все они нормально тестились в цикличном тесте памяти. На практике я проверял все вышеназванные вами микросхемы и пришёл к выводу, что все они при установке в Ленинград-1 подходят только на 50-70%, так как его упрощённая схемотехника более требовательна к этим микросхемам. Вобщем можно любые, но предварительно проверенные на подобном аппарате и с одинаковым индексом.
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 11 июня 01:53:41 2017 | ссылка на пост    
Автор: Алексей
Подскажите ещё, пожалуйста:
1. Что это за элемент Т2 на схеме? Нигде не нашёл описания.
2. Как правильно напаивать резисторные сборки?
3. 15k (All resistors) - имеется в виду общее сопротивление ? Т.е. если 11 штук R23, то получается сопротивление одного 15k/11, так?
Или каждый по 15k?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 12 июля 23:49:58 2017 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Алексей, T2 - это 1НТ251 (транзисторная сборка из 4-х NPN транзисторов).

В сборках каждый резистор по 15k.

Сборка R2 подтягивает все 8-мь разрядов шины данных процессора к +5В через 8 резисторов по 15К:



Сборка R23 подтягивает к +5В входные разряды портов ввода-вывода:



В моем случае, в этой сборке на один резистор меньше чем на схеме, т.к. я узел чтения с магнитофона собирал нестандартным образом на макетном участке платы.

Полезный комментарий + 2 | Бесполезный комментарий - 0
четверг, 13 июля 22:08:24 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Alex Babkin
Константин, приветствую. Не клеится у меня с пентагоном :-( К сожалению, в цифровой технике я не очень... Подумываю даже заслать его в Вашу сторону...
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 15 июля 09:58:28 2017 | ссылка на пост    
Alex Babkin
Сообщений: 10
Автор: Юрий
Не подскажете, как можно заменить 555ир16? как я понял это теперь очень редкий компонент. Поиски по интернетам дают только какие-то скудные остатки на интернет аукционах, где доставка сильно превышает стоимость самой микросхемы, а по местным точкам почему-то ничего не нахожу. Может как-то можно изобразить на другой микросхеме или микросхемах то, что он делает в схеме Ленинграда?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 18 июля 23:09:27 2017 | ссылка на пост    
Автор: Юрий
Да и память уже не то, чтобы очень доступна. Может можно это все переделать на какую либо широко распостраненную статическую память, чтобы цепи регенерации можно было исключить из схемы? Ну а ПЗУ с мнее экзотическим, электрическим стиранием, я думаю подобрать не должно уже быть какой-то большой проблемой?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 18 июля 23:18:02 2017 | ссылка на пост    
Автор: Роман
Добрый день Юрий! У меня проблем с приобретением комплектующих к счастью не было, брал в Воронеже. А так на что можно заменить, указано в шапке ИР16 на 74ALS295. Вместо ПЗУ использую Winboard! Программатор и пзу брал на алиэкспресс)
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 июля 10:11:55 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Юрий
Добрый день, Роман.
Проблема в том, что импортный аналог (ALS да и LS тоже) чуть ли не еще больший раритет, нежели сама ИР16. ИР16 даже попадается на ибее. А что такое Winboard? Гугл сообщает на такой запрос инфу о некоторой шахматной программе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 июля 18:23:03 2017 | ссылка на пост    
Автор: Роман
Юрий, извиняюсь,ПЗУ W27C512-45Z W27C512-45 W27C512 WINBOND DIP28. А вот за импорт не в курсе, так как уже говорил что все отечественное подобрал. А как вариант КР1533ИР16, (SN74ALS295BN) (98-01) в Чипе дипе имеется.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 июля 19:25:23 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Юрий
А в качестве замены транзисторов из микросборки пойдут народные BC547?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 июля 22:07:53 2017 | ссылка на пост    
Автор: Роман
Плату Ленинграда приобретал уже модернизированную с видеовыходом на BC547, думаю плату под транзисторную сборку можно BC547 заменить, главное не забыть про цоколевку)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 июля 09:02:09 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Юрий, все компоненты можно купить на zx-pk.ru в разделе барахолка, сам там покупал, только у проверенных продавцов. Брал у продавца с ником svinka, без проблем.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 июля 09:08:00 2017 | ссылка на пост    
Сообщений: 2
Автор: Роман
Всем привет! Может не в тему, хотел спросить кто как делает корпус для спека... например для того же Ленинграда, или же использует готовый б/у корпус?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 июля 20:41:17 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Юрий
Оббегал сегодня в очередной раз радиорынок. ИР16 не нашлось. И как ни странно ЛИ1 тоже ни импортной ни нашей. Процессор тоже проблема, буду в Китае заказывать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 июля 14:42:48 2017 | ссылка на пост    
Автор: Юрий
И собственно еще одна проблема, ОЗУ! Оказалось местные барыги растворили все РУ-шки уже давно в кислоте. (
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 июля 15:34:15 2017 | ссылка на пост    
Автор: Дмитрий
Не рекламы ради, я брал тут

http://www.phantom.sannata.ru/forum/index.php?t=24905&p=365784

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 июля 22:53:02 2017 | ссылка на пост    
Автор: SPOT E-mail: website10@ro.ru
Здравствуйте Константин. Такая проблема, при включение клона ZX Spectrum появляется шахматная доска.



Схема


Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 24 июля 13:46:35 2017 | ссылка на пост    
Автор: Юрий
Подскажите, вот здесь:
https://zxpress.ru/article.php?id=11995
правильная схема подключения музыкального сопроцессора? Может есть какие-то другие, лучшие/худшие варианты?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 июля 21:44:45 2017 | ссылка на пост    
Автор: Юрий
И куда пропал Константин?! Когда и о чем именно новое видео на канале (боюсь даже думать что нового видео не будет)?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 июля 21:46:23 2017 | ссылка на пост    
Автор: Роман
😂 Сезон отпусков! Наверное на морях😏
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 26 июля 08:48:46 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Михаил
Здравствуйте Константин, при просмотре вашего видео по сборке компьютера ZX Spectrum “Ленинград 48”, появилось большое желанием провести апгрейд памяти ОЗУ своего старенького компьютера ZX Spektrum “Magic05” до версии 128к, память ОЗУ в этом компьютере реализована с помощью 8 микросхем 565РУ5. Памяти такой у меня в наличии не оказалось, но есть друга с большим объемом, это КМ41С1000СР-7,1Mx1Бит особенность работы это 10 адресных линий в отличие от 8 в РУ5. Вопрос, возможно ли установить данную память в компьютер ZX Spektrum “Magic05” и как заставить ее правильно работать без существенных переделок. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 26 июля 09:58:01 2017 | ссылка на пост    
Автор: Александр E-mail: AndreyanovAlexandr@yandex.ru
Добрый День. Расширил ОЗУ до 128кб по Вашей методике. Ситуация следующая: При запуске теста 128кб пару 3-4 сек. бегут полосы по бордюру. А потом всё останавливается. Монтаж перепроверил несколько раз. Помогите :(
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 26 июля 16:53:41 2017 | ссылка на пост    
Автор: Юрий
Хочу спросить Романа, который писал про использование W27C512-45Z. Ноги 20 и 22 должны сидеть на земле или 22 нужно оставить в воздухе?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 июля 14:23:36 2017 | ссылка на пост    
Автор: Роман
Юрий добрый вечер! Хороший вопрос, я то сам ещё можно сказать учусь) ещё запускаю свой первый Ленинград, проблема с пзу тоже имеется, и вот после Вашего вопроса обнаружил что доработки согласно схемы для ПЗУ 27с512 у меня на плате нет))) надо будет подумать!
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 июля 22:13:07 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Товарищ SPOT, предпологаю проблема с одним из мультиплексоров М/С D15-D19. У себя обнаружил проблемный ставив поверх рабочую микросхему вторым этажом. Причём осциллограммы с 1 и 15 выводов были в норме, согласно таблицы(.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 июля 22:18:28 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
Ссори SPOT))) на схему не обратил, я про Ленинград думал...
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 июля 22:47:22 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Юрий
Добрый вечер, Роман. Вроде согласно даташиту http://www.kosmodrom.com.ua/pdf/W27C512-45Z.pdf в режиме чтения выводы 20 и 22 должны иметь низкий уровень. Ну и соответсвено выводы 1 и 27 должны быть на низком уровне если вы желаете использовать первую четверть объема микросхемы. Но почему-то на схеме доработки 22 висит в воздухе.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 июля 23:10:26 2017 | ссылка на пост    
Автор: Роман
Юрий, у меня на плате 20 и 22 соединены вместе к сигналу RDROM. Вот здесь немножко инфы http://zx-pk.ru/threads/22683-rasshirenie-pamyati-leningrad-1-do-128k-i-zamena-pzu-na-flash-pzu/page3.html
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 июля 19:26:09 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Юрий
Добрый день. Где взять в схеме Ленинграда 1 частоту 1.75MHz для тактирования музыкального сопроцессора?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 1 августа 16:41:02 2017 | ссылка на пост    
Автор: Юрий
Получил информацию что в качестве 1,75MHz лля музыкального сопроцессора можно использовать сигнал Н1. Так ли это?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 3 августа 09:18:22 2017 | ссылка на пост    
Автор: Юрий
Есть вопроса:
1) Нужно использовать прямой или инверсный Н1?
2) Эта страница еще жива (надеюсь)?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 4 августа 22:24:14 2017 | ссылка на пост    
Автор: Роман
Страница жива, только нет Гуру)
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 августа 09:57:12 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Villain
Здравствуйте, уважаемый Константин и все сочувствующие!
Приключилась у меня беда. Достал я свой старый комплюктер с дальней полки, решил вспомнить детство.
https://yadi.sk/i/K9IF17pD3MkePm
https://yadi.sk/i/i2YBZA4i3MkeQU
Что за модель, подскажите люди знающие? Вроде как в Челябинске их делали.
Подключил к телевизору через SCART: изображение есть, а в цветах шум.
Видео: https://youtu.be/yNL2CeGgjO8
Здесь особенно хорошо видно, что творится с цветами. Соединил все земли в кабеле и поставил резисторы по 500 Ом на каждый цветовой канал (RGB).
Осциллографом я вот что намерил:
такой сигнал у меня на выходе Video:
http://fastpic.ru/view/91/2017/0401/e1e38f6855d347eefc53ca3c0e40f952.png.html
А вот сигнал вместе с шиной +5В
http://fastpic.ru/view/89/2017/0401/290f0fcff09d7b50791a6f1d61210a13.png.html

Плохо разбираюсь в электронике, но вижу, что есть сигнал частотой примерно 6.4 кГц.
Сначала грешил на кварцевый резонатор на 14 МГц. Поставил новый, эффекта нет.
Пробовал на шину +5 В напаять конденсаторов 3 шт по 1800 мкФ
https://yadi.sk/i/fP6MSr7y3GYXPX
Но это не дало никакого результата.
Есть ли предположения, куда копать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 сентября 11:00:28 2017 | ссылка на пост    
Сообщений: 2
Автор: Villain
Что-то всё затихло. Куда же все подевались? Никто не сталкивался с подобным?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 20 сентября 14:18:24 2017 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Villain, что за клон точно не скажу, но штука, судя по всему, дельная. Тут хоть и всего 48к памяти, но зато на плате есть контроллер дисковода и музыкальный сопроцессор – это круто )

Похоже, что помехи по RGB посторонние, т.е. с самой платой всё наиболее вероятно хорошо.

Стало быть, стоит сосредоточиться на проверке или замене источника питания, а также непосредственно на схеме соединения с ТВ.

При замене источника питания, важно помнить, что такая плата требует наличия двух напряжений: +5В и +12В (местами менять их нельзя – погорит вся логика). Если же подать на плату только +5В (без напряжения +12В), то микросхема ВГ93 (контроллер дисковода) выйдет из строя. Однако в процессе тестирования можно вместо +12В подавать +5В, тогда с ВГ93 ничего не случится, но контроллер в таком режиме работать не будет. Кстати, ВГ93 может выйти из строя, если напряжение +5В будет приходить несколько раньше напряжения +12В, т.е. блок питания тут нужен надежный )

Свои zx-клоны я подключаю к ТВ по этой схеме:
http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p60

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 сентября 05:07:25 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Vadim
Доброго времени суток!
Допустима ли замена ИР22 на ИР23, в схеме Ленинграда?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 сентября 15:11:23 2017 | ссылка на пост    
Сообщений: 51
Автор: zamich
Здравствуйте люди добрые! Подскажите пожалуйста по Ленинград-48к, на D32 (IR22) должен ли тактироваться сигнал на первом выводе (сигнал /CSRAM) и на D39 (TM9) девятый вывод (сигнал /IOWR)?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 25 сентября 14:40:36 2017 | ссылка на пост    
Сообщений: 8
Автор: Vadim
Сообщений: 51
Автор: Vadim
Пардон.

/CSRAM D32



/IOWR D39 без ПЗУ



/IOWR D39 с тестовым ПЗУ




Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 2 октября 09:28:45 2017 | ссылка на пост    
Сообщений: 51
Автор: zamich
Спасибо Vadim, я та и предстовлял т.к. у меня только логический пробник. хотел спросить вашего мнения по поводу этой картинки

[url=http://radikal.ru][img]http://s018.radikal.ru/i514/1710/cd/ffa6c66c023e.jpg[/img][/url]



это без ПЗУ, процессор Z80 (без буквы), четыре ру5 и четыре ру5д, питание ровно 5в, частота в норме, все нужные сигналы вроде (пробник логический) присутствуют, вместо 555кп11 (D36) временно стоит 555кп14 (кп11 пока нет). Помогите советом, заранее благодарен!

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 октября 17:31:08 2017 | ссылка на пост    
Сообщений: 8
Автор: Vadim
zamich, без процессора такая же картинка? Микросхемы ОЗУ разной ёмкости ру5 - 64кбит, ру5д 32 (ру5д1, ру5д2) или 16 (ру5д3, ру5д4).
Самоделка или ремонт?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 октября 17:49:36 2017 | ссылка на пост    
Сообщений: 51
Автор: zamich
самоделка, без процессора (только ОЗУ) нет вертикальных полос, только широкие горизонтальные черно-белые полосы движущиеся вверх, ру5д поставил от безисходности т.к. четыре ру5 которые я ими заменил показались подозрительными (слабый сигнал на D0 14-й вывод). Может попробовать поднять напряжение?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 4 октября 15:29:11 2017 | ссылка на пост    
Сообщений: 8
Автор: Vadim
Сначала было бы неплохо стабильную картинку получить. Без ЦПУ, ПЗУ и ОЗУ должен быть белый прямоугольник на чёрном фоне.
Делалась ли доработка для стабилизации строчной? 10 вывод на +5В, 1 на GND счётчика D4 для кварца 14 МГц. Есть чем частоту померить?
Потом можно будет смотреть напряжение на шине данных D0-D7 (без ЦПУ, ПЗУ и ОЗУ), а также нет ли КЗ между ними.
Затем можно будет ОЗУ установить, но с учётом адресации строк и столбцов для 565РУ5Д1, Д2, Д4 A7 =1; Д3 A7 = 0 (9 выводы). Но это только на первое время, для наладки.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 4 октября 16:31:21 2017 | ссылка на пост    
Сообщений: 51
Автор: zamich
Понял Vadim, проверю частоту, шина данных (по части КЗ и обрывов)в порядке. По части ОЗУ: у меня ру5д3, 9 выводы отрезать и посадить на минус?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 5 октября 15:42:18 2017 | ссылка на пост    
Сообщений: 8
Автор: Vadim
Рушки впаяны или на панельках? Если на панельках, то резать не надо, просто отогнуть 9 вывод перед установкой и подпаять GND. Если впаяны, то аккуратно режем ногу как можно ближе к плате и подпаиваем GND. Полноценно с таким ОЗУ работать он не будет, нужно будет их всё равно заменить.
Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 5 октября 20:08:16 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm E-mail: kr1033eu1@inbox.ru
У меня вопрос такого характера, подскажите знающие люди можно ли использовать в схеме вместо 1533кп11 микросхему 1533кп11А, если да то что нужно добавить или убавить, что бы она заработала, если нет то чем можно заменить из отечественной логики, про 257 импортную знаю, но негде взять.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 октября 15:10:41 2017 | ссылка на пост    
Автор: Vadim
ZIm, это одно и то же.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 октября 16:01:39 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm E-mail: kr1033eu1@inbox.ru
Vadim, я тоже так думал, а как оказалось что не одно и тоже!!!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 октября 20:46:26 2017 | ссылка на пост    
Автор: Vadim
В серии КР1533 КП11 нет, есть только КР1533КП11А. Обе версии КП11 и КП11А есть в в К555. Есть ещё 1533КП11 и 1533КП11А, которые выполенны не в DIP корпусе, а в "планарном" типа 402.16-32.
И в чём же если не секрет оказалось их различие? В длительности фронтов?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 октября 23:57:53 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm E-mail: kr1033eu1@inbox.ru
Разница в том, что с буквой "А" это селектор.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 12 октября 19:31:56 2017 | ссылка на пост    
Автор: ZIm E-mail: kr1033eu1@inbox.ru
В общем нашел уже кп11, первый вопрос решил, есть еще второй, по поводу процессоров z84C0020PEC, дело в том, что по случаю достались такие процессоры, как выяснилось позднее из форумов с этими процессорами есть некоторые проблемы, в моем случае из 10, 5 вообще не стартуют, а 5 без ПЗУ показывают "погоду" без намеков на "матрас" или, что либо подобное, схема распаяна на заказной плате согласно предложенной на этом сайте, ОЗУ вместо РУ5 (7) использую импортные MN41256-08 c заземленными первыми выводами, доработок никаких пока не делал, задающий генератор работает 3,5МГц на 6 выводе есть, синус немного не ровный амплитуда около 5В. Я предполагаю что проблема именно в процессоре!? Если есть кого какая информация или мысли подскажите...
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 12 октября 19:49:59 2017 | ссылка на пост    
Автор: Vadim


Для процессора подтянуть сигнал /RAS к +5 В резистором 500 - 1000 Ом.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 12 октября 20:27:00 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm
[URL=http://fastpic.ru/][IMG]http://i89.fastpic.ru/big/2017/1015/58/58dd5419abb4db601895fc0d22ef9758.png[/IMG][/URL]
И чему в таком случае верить???? Чет я совсем запутался!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 октября 22:38:53 2017 | ссылка на пост    
Сообщений: 12
Автор: ZIm
Прошу прощения не сразу допер как изображения добавлять.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 октября 22:43:52 2017 | ссылка на пост    
Сообщений: 12
Автор: Vadim
К555КП11, КП11А и КР1533КП11А - селекторы (мультиплексоры) 2в1 с ТРЕМЯ устойчивыми состояниями на выходах БЕЗ инверсии. Стоят в схеме Ленинграда КР1533КП11А - полёт нормальный.

К555КП14, КП14А и КР1533КП14А - селекторы (мультиплексоры) 2в1 с ТРЕМЯ устойчивыми состояниями на выходах С инверсией. Иногда используют вместо D36(КП11) для инверсии цветов.

К555КП16 и КР1533КП16 - селекторы (мультиплексоры) 2в1 с ДВУМЯ устойчивыми состояниями на выходах БЕЗ инверсии.

К сожалению, книжечки с опечатками и ляпами не редкость, поэтому стоит посматривать несколько источников.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 15 октября 23:34:32 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm
Vadim, огромное спасибо вам за разъяснение, однако я смотрел в нескольких источниках в одних написано одно в других другое, меня смутило то, что у меня есть в наличии одна 555кп11 и при установке ее в D17 появляется такая картинка , вот для меня и сошлись звезды того что микросхемы разные.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 14:48:40 2017 | ссылка на пост    
Сообщений: 12
Автор: Vadim
ZIm, микросхемы D15 и D16, D17 и D18 работают в парах, поэтому при замене на другую серию, желательно также менять - парами.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 16:14:02 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm
Vadim, спасибо, я догадывался, что ж буду ждать посылку, с микросхемами, а там посмотрю, что получится.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 18:38:32 2017 | ссылка на пост    
Сообщений: 12
Автор: Randomize_Usr
Спасибо автору темы! Подогрел интерес.
Сегодня победил свой спектрум. Не мог поверить, что после спайки бутерброда из исправных ру5 одна из них крякнула.





Мой клон называется Composite.
План соединений для него модифицированный из авторского может сгодится кому-нибудь.
Для всех доработок используем 8 дополнительных микросхем:

eD1 - К555(1533)ТМ9 (устанавливается поверх D34)d31 - было
eD2 - К555(1533)КП11 (устанавливается поверх D33)d30
eD3 - К555(1533)ЛЕ1 (устанавливается поверх D2)d2
eD4 - К555(1533)ЛА3 (устанавливается поверх D40)d31
eD5 - К555(1533)ЛЛ1 (устанавливается поверх D42)d34
eD6 - К555(1533)ЛИ1 (устанавливается поверх D8)d8
eD7 - К555(1533)ЛЛ1 (устанавливается поверх D13)d13
eD8 - К555(1533)ЛН1 (устанавливается поверх D41)

План соединений при апгрейде памяти:
00. eD1-1 -> reset
01. eD1-2 -> eD2-2
02. eD1-5 -> eD2-11
03. eD1-7 -> eD2-14
04. eD1-15 -> eD3-8
05. eD1-9 -> eD3-10
06. eD1-3 -> d38-12 было D32-12 (D0)
07. eD1-4 -> D38-15 (D1)
08. eD1-6 -> D38-16 (D2)
09. eD1-11 -> D38-19 (D3)
10. eD1-13 -> D38-2 (D4)
11. eD1-14 -> D38-5 (D5)
12. eD1-10 -> D17-13 (2-й экран) – отрезать от земли
13. eD1-12 -> eD7-2 (Выбор ПЗУ 128k) доделать при установке ВГ93
14. eD7-1 -> eD8-10 -> eD7-10 (Выбор TR-DOS инвертированный)
15. eD8-11 -> через 10к к +5B (Выбор TR-DOS)
16. eD8-11 -> D30-1 (отрезать от +5B) пока не соединять и не отрезать
17. eD7-3 -> D30-27 (отрезать от +5B)
18. eD2-3 -> eD2-13
19. eD2-13 -> eD4-4 -> D10-11 (A14)
20. eD2-10 -> eD4-5 -> eD3-3 -> D10-12 (A15)
21. eD2-15 -> D33-8 (GND)
22. eD2-1 -> eD4-6
23. eD2-4 -> D16-10 (отрезать от A14)
24. eD2-9 -> D16-13 (отрезать от A15)
25. eD2-12 -> eD5-12
26. eD3-1 -> eD4-1
27. eD3-2 -> D30-9 (A1)
28. eD3-4 -> eD4-2
29. eD3-5 -> D14-12 (WR)
30. eD3-6 -> D14-13 (OUTIORQ)
31. eD3-9 -> eD4-3
32. eD5-13 -> D3-2 (H1)
33. eD5-11 -> eD3-11-12->eD4-9
34. eD3-13 -> eD4-12
35. CAS -> eD8-13 отрезать от D21-15...D28-15 (КР565РУ5)
36. eD8-12 -> eD4-10 -> eD4-13
37. eD4-11 -> D21-15...D28-15
38. eD4-8 -> eD21-15...eD28-15
39. eD5-10 -> D10-13
40. eD5-9 -> D9-8 (WE)
41. eD5-8 -> D21-3...D28-3 (КР565РУ5) - отрезать от D9-8

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 16 октября 21:53:59 2017 | ссылка на пост    
Сообщений: 23
Автор: ZIm
Доброго Времени суток Всем, подскажите получаю вот такой кривой синус на 6 ноге, подскажите как его выпрямить???
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 октября 19:41:42 2017 | ссылка на пост    
Сообщений: 12
Автор: Vadim
Брошюра по наладке Ленинграда, которую сбрасывал Константин: http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f

В приложении диаграмма 60 - сигнал RAS.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 22 октября 17:43:31 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm
Vadim, брошюру читал сигналы видел, в ней есть нюанс, на 6 - ноге сигнал RAS c инверсией (согласно схемы), этот сигнал поступает с 9 вывода D2, согласно брошюре диаграмма №5 (синус), и на видео размещенном на этом сайте Константин получает синус, , если не прав, прошу не пинайте сильно до этого времени я никогда с ни с Ленинградом ни со Спектрумом ни с любым другим аналогом данного компьютера дела не имел, многих очевидных нюансов для опытного человека могу не замечать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 22 октября 19:59:29 2017 | ссылка на пост    
Сообщений: 12
Автор: Randomize_Usr
ZIm! Я бы не парился на твоем месте. Искривление меандра происходит из-за индуктивности и емкости дорожек и щупа осциллографа. Вот например сигнал с 6 ноги z80 снятый обычным щупом:
[URL=http://www.picshare.ru/view/8328796/][IMG]http://www.picshare.ru/uploads/171022/To4wRSE5tR_thumb.jpg[/IMG][/URL]
вот делитель и снятый с помощью него тот же сигнал:
[URL=http://www.picshare.ru/view/8328797/][IMG]http://www.picshare.ru/uploads/171022/WZ0fO5SXUA_thumb.jpg[/IMG][/URL]

[URL=http://www.picshare.ru/view/8328798/][IMG]http://www.picshare.ru/uploads/171022/i7XH750i3S_thumb.jpg[/IMG][/URL]

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 22 октября 21:28:23 2017 | ссылка на пост    
Сообщений: 23
Автор: Vadim
Примерные картинки одного и того же сигнала на осциллографах с разной полосой пропускания:



Осциллограф Константина смахивает на С1-94 с полосой пропускания 10МГц.

Картинка не моя. Оригинал http://robotosha.ru/electronics/how-choose-oscilloscope.html.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 22 октября 22:32:50 2017 | ссылка на пост    
Сообщений: 51
Автор: ZIm
Всем спасибо за подсказки и помощь, сегодня я добил до конца эту часть вопроса, суть проблемы была следующей, как я это понимаю, в том, что импортные микросхемы, особенно ОЗУ типа 41256 очень критично относятся к напряжению питания, при первоначальных запусках мое питающее напряжение состовляло 4,94~4,6В (пользовался компьютерным БП) впаяв в него дополнительный переменный резистор на ногу управления ШИМ смог поднять напряжение до 5,05~5,1В после чего благополучно запустился "матрас" и в последствии заработала схема по установке 512 ПЗУ, однако при запуске тестовой прошивки есть некоторые ошибки, и получаемый матрас имеет небольшие артефакты, понятное дело что виновата ОЗУ, но я все ни как не пойму как с помощью тестовой программы определить определить неисправную микросхему, если кто может подскажите где подсмотреть синдромы и коды ошибок. Заранее благодарен.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 октября 03:24:40 2017 | ссылка на пост    
Сообщений: 12
Автор: ZIm
4,94 ~ 4,96В там очепятка!!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 октября 03:27:10 2017 | ссылка на пост    
Сообщений: 12
Автор: Vadim
Ошибка ОЗУ на тестовой прошивке 48K:
http://s010.radikal.ru/i312/1107/41/e23bbe7d5a22.jpg

Если собрана схема 48K, проверить все ли 1 выводы 41256 подтянуты к +5В или GND.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 октября 11:00:19 2017 | ссылка на пост    
Сообщений: 51
Автор: Randomize_Usr
to ZIm
Описание теста 128
http://d.zaix.ru/4Kgd.txt

5 Вольт на ножках ОЗУ? В питающих проводах могут быть приличные потери. У меня на источнике 5,5 вольт, а на плате 5,0 В.

Конденсаторы на каждую из озушек по питанию припаяй 0,1 мкф, достаточно будет.

Ошибка повторяется?
Если картинку с ошибкой покажешь то может еще что-нибудь подскажу.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 октября 21:16:25 2017 | ссылка на пост    
Сообщений: 23
Автор: Randomize_Usr
Если уверен что озушки барахлят то методом научного тыка можно попробовать. Меняешь две озушки местами если ошибка не изменилась то обе исправны или обе неисправны итд.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 октября 21:27:49 2017 | ссылка на пост    
Сообщений: 23
Автор: Виталий
Не совсем по теме, но больше некого спросить. Мне нужно адаптировать сигнал разного напряжения, линия как я понял двунаправленая (как в оперативной памяти) 5в и 3.3в интерфейсный адаптер слишком дорогой MAX3004EUP. IDT QS316211кмоп и есть случае подыхания от малейшей статики. Подскажите какую я могу использовать? Мне главное чтобы напряжение сигнала выставлялось опорным напряжение, т е 2 разных опорных напряжения. Я уже всю голову сломал. Литературы нормальной найти не могу, в даташите не понятно будет так работать или нет. В программах моделирования таких микросхем нет, другие которые должный двунаправлено работать, работают только односторонне. В доступе есть только дорогие и спалить их не понимая подойдёт ли она не могу себе позволить. И да, время отклика должно быть не больше 6ns
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 30 октября 20:25:12 2017 | ссылка на пост    
Сообщений: 4
Автор: Vadim
Виталий, как на счёт TXB0108PWR? Время отклика 4 нс.
Статья по теме: http://we.easyelectronics.ru/Shematech/soglasovanie-logicheskih-urovney-5v-i-33v-ustroystv.html.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 30 октября 23:23:22 2017 | ссылка на пост    
Сообщений: 51
Автор: Виталий
Vadim! Боже, это то что нужно на все 100%, и доступность и корпус и цена и скорость! И главное электрическая защита до 15Кв =)
Большое при большое спасибо! А то уже 3 недели мозг ломаю что выбрать...
Ещё вопрос это уже совсем не по этой статье.
Мне нужно найти схему или чип который берёт 2 сигнала с разным прерыванием по одной шине и объединяет его в линию данный с чередованием. Такое вообще возможно?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 31 октября 18:43:52 2017 | ссылка на пост    
Сообщений: 4
Автор: ZIm
Всем Огромное спасибо за помощь и подсказки, методом титанических усилий я нашел проблему и устранил, только вся беда я не понял в чем она была. Суть следующая, заменяя микросхемы ОЗУ я выяснил как работает тест, все достаточно просто, вытащив предпологаемо неисправную микросхему и оставив панельку пустой по этому адресу при проверки буфера получал при чтении 1, в принципе логично, когда очередь подошла к D28 и я ее вытащил ситауция не изменилась, первое что сделал полностью прозвонил дорожки, проверил микросхему ИР22 все прекрасно работает, но тест не проходит, тогда я выдул феном панельку и посадил на ее место другую, и о чудо первый тест прошел, потом методом подбора микросхем нашел 3 неисправные из серии MB81256-12 10 штук, был вынужден одну поставить MN41256A-08,понимаю что так делать нельзя, но если очень хочется и надо то можно, поставив как выше указано микросхемы все заработало. В последствии загрузилась ОС 48К. Итог мои предположения по данной неисправности. Возможно плата недостаточно качественно была изготовлена и возможно в межплатном переходе (метализированное отверстие) не было должного контакта, так как я старался много олова туда не паять и делать все предельно аккуратно (НЕПРОПАЙ ИСКЛЮЧЕН, пропаивал и просматривал, и прозванивал) и когда менял панельку возможно сам того нежелая пролудил отверстия изнутри. Опять же это только предположения и другого объяснения я найти не могу...
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 ноября 00:42:30 2017 | ссылка на пост    
Сообщений: 12
Автор: ZIm
В настоящий момент, собрал читалку на стандартно приложенной схеме, на ЛН2 не захотела работать, я так подозреваю что виной сигнал со смартфона уровнем 1,3 вольт, стандартная работает отлично,только громкость нужно чуть тише на телефоне делать, так же собрал контроллер PS/2 клавиатуры с перва тоже работать не хотела точнее не работали кнопки на линии А15, долго думал перепробовал почти все кварцы свои, всякие версии прошивок, а вот осцилографом догодался посмотреть в последнюю очередь, решение оказалось простым нужна была подтяжка на 10КОм на +5В, в последствии хочу собрать контроллер ТХ клавиатуры, но пока и этого хватит. Остановился на том что начал делать доработки по стабилизации строчной кадровой развертки, прорисовки окружности и т.п.
И вот сделал все как нарисовано, а окружность как была кривой так и осталась еще и трястись начала, Если кто обладает какой либо информацией по этому вопросу, буду признателен за подсказку!!!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 ноября 00:53:30 2017 | ссылка на пост    
Сообщений: 12
Автор: Randomize_Usr
to ZIm.
Можешь попробовать схему из Композита, она на двух ИР1 или ИР16.
http://sblive.narod.ru/ZX-Spectrum/Composite/Composite.htm

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 ноября 01:02:44 2017 | ссылка на пост    
Сообщений: 23
Автор: Randomize_Usr
Подключил музыкальный сопроцессор.

Второй разьем на кабеле, для FDD контроллера, тоже под односторонний монтаж. Осталось причесать платку.
Схема:
[url=http://d.zaix.ru/4Sa6.pdf]скачать 4Sa6.pdf[/url]
Фотошаблон или ЛУТ:
[url=http://d.zaix.ru/4Saa.pdf]скачать 4Saa.pdf[/url]
Расположение элементов:
[url=http://d.zaix.ru/4Sag.pdf]скачать 4Sag.pdf[/url]

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 5 ноября 19:09:58 2017 | ссылка на пост    
Сообщений: 23
Автор: ZIm
Randomize_Usr, спасибо за наводку я все таки добился того что бы заработала предложенная доработка на этом сайте, причина оказалась очевидной, на месте микросхемы D33 у меня стоял импортный аналог SN74HC165N и видимо либо фронты у нее другие или еще какие параметры (В подробности не в давался) Заменил на отечественную 1533ИР9 и все сразу получилось, единственное D2 у меня стояла тоже импортная и из-за этого слева при выполнении доработки появлялась темная полоса, заменил на 555ТМ2 и все стало работать как нужно. Сей час думаю о том как расширить память до 128Кб.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 03:19:06 2017 | ссылка на пост    
Сообщений: 12
Автор: iegova
Добрый день! Посмотрев ваше видео про сборку ленинграда загорелся идеей собрать свой. Плату соответствующую искать не стал, решил сделать на макетке. В общем почти все получилось, но проблемы с изображением, картинка плывет вверх. Прошу не пинать ногами, в спектрумах у меня опыт нулевой, да и вообще в радиолюбительстве. Я так понимаю какая то проблема с кадровой синхронизацией. Надеюсь на вашу помощь. Микросхемы использую 555 и 1533 серии, память winbond w27c512, кварц на 14.000 МГц. Замерял питание на каждой микросхеме, варьируется от 4,85 до 5,1 вольт

http://s018.radikal.ru/i512/1711/9d/5d494604d46d.jpg

Делал по схеме с sblive.narod.ru со следующими доработками, только ПЗУ для выбора 48к перемычками к +5в подтянул.

http://www.cxemateka.ru/v1/Other.png

Так же делал привязку к черному на микросхеме 1533тм2.
тест памяти показал вот это

http://s019.radikal.ru/i641/1711/7e/cd865be9a113.jpg

вот еще пара фоток

http://s012.radikal.ru/i319/1711/6c/fb9df4bc7f76.jpg

http://s013.radikal.ru/i323/1711/37/5041eafbc2ad.jpg
задал уже этот вопрос на zx-pk.ru, но все таки одна голова хорошо а две лучше

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 13:55:40 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
iegova, для стабильной работы системы желательно добиться, чтобы питание на всех микросхемах (особенно на РУ5-х) было не ниже 5.0 вольт. Только после этого можно ожидать прохождение теста памяти.

Ленинград напрямую к VGA монитору подключить никак не получится. Тут нужно специальное устройство сопряжения, вроде GBS8200 или этого:
http://www.zxkit.ru/katalog-1/zxkit-001

Ну, а самый простой вариант – подключить его через SCART к телевизору.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 18:22:28 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, это просто разъем 15 пиновый, я подключаю к RGB Scart. Какой то косяк с кадровой синхрой, пока не пойму в чем дело
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 18:48:28 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
ZIm, SN74HC165N - это КМОП (CMOS) микросхема, у неё токи отличаются от ТТЛ логики:



74HC – это аналог серии 1564 (КМОП)

В Ленинград стоит ставить: 74LS – аналог 555 серии, 74ALS – аналог серии 1533, ну или 74HCT (где "T" указывает на совместимость с ТТЛ-уровнями входных сигналов).

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 19:15:03 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
iegova, в любом случае, сначала надо питание стабилизировать. Если напряжение на некоторых участках платы ниже 5.0 вольт, стабильная работа системы маловероятна.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 19:20:31 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, это я накосячил, неправильно понял схему доработки сигнала int, написано что надо отрезать С2 от D8-8(12), но видимо понял надо отрезать С2 полностью от остальной схемы. Вернул как было на оригинальной схеме по умолчанию, тест озу прошел, но все равно дергание кадров((

http://s014.radikal.ru/i326/1711/20/79afc3ccdcf3.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 6 ноября 20:58:28 2017 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
iegova, чтобы стабильную картинку получить, нужно частоты строк и кадров привести к стандарту. Об этом подробнее в этом посте:

http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 ноября 17:44:17 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: ZIm
Доброго всем времени суток, Подскажите кто знает как формируется сигнал IORQ На 20 ноге Z80. из-за его отсутствия на 15 выводах D38, D37 тоже нет сигнала соответственно клавиатура не работает. Если кто сталкивался подскажите в чем причина или куда посмотреть ???? За ранее благодарен!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 ноября 23:47:01 2017 | ссылка на пост    
Сообщений: 12
Автор: Randomize_Usr
Сигнал IORQ формирует процессор при обращении к внешнему устройству. Совместно с сигналами RD или WR соответственно будет производиться чтение или запись в устройство адресуемое в этот момент шиной данных. Можно скачать книжку Z80 на русском там понятно написано. У вас скорее всего непропай или плохой контакт в панельке процессора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 ноября 00:37:45 2017 | ссылка на пост    
Автор: Александр
И снова здраствуйте. Давненько я не заходил сюда, не было просто времени. Короче, моё новое видео про Спектрум: https://youtu.be/fWOtyesDlhk
P.S. после съёмки я провёл коррекцию дешифрации, как на видео у Константина. Помехи из динамика исчезли, зато клавиатура перестала работать. Пришлось немного перепаять входы у eD7 - вместо eD7-13 на eD5-2 - eD7-13 на eD6-4, и вместо eD7-9 на eD5-1 - eD7-9 на D14-3. Только после этого клавиатура заработала. Ещё пока что не работает TR-DOS - при его выборе комп просто перезагружается. Остальные пункты меню все работают.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 ноября 18:31:12 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, если в динамике слышен треск при старте доработанного до 128К Ленинграда, то это означает, что коррекция дешифрации портов не сделана или собрана неверно. В принципе, многие программы и так будут работать, но с незапланированными звуковыми или бордюрными эффектами )

Ну, а вход в TR-DOS будет работать только после подключения контроллера дисковода.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 ноября 22:20:45 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин,я понял. Пока нет денег на контроллер, займусь звуковым процессором и соберу новую клавиатуру. Кстати, а чем AY-3-8910 отличается от YM-2149F?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 ноября 17:09:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
AY-3-8910 и YM-2149F взаимозаменяемы. Однако отличия всё же есть:

"Назначение выводов микросхемы YM2149F соответствует AY-3-8910, за исключением вывода 26, который включает внутренний делитель входной частоты вдвое, если на него подан низкий уровень. Если этот вывод никуда не подключён, микросхема работает так же, как AY-3-8910. Помимо встроенного делителя входной частоты, YM2149 имеет отличие в разрядности ЦАП огибающей — 5 бит вместо 4 (с логарифмической шкалой). Также для тона используются только нижние (тихие) 4 бита. Это создаёт отличие в тембре звучания огибающей, делая его более ярким, однако позволяющим получить бо́льшую гибкость баса."

https://ru.wikipedia.org/wiki/AY-3-8910

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 19 ноября 19:45:48 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Randomize_Usr
Здравтвуйте все! Подключил FDD контроллер.
https://yadi.sk/i/VbrRxQZi3PuWvW
https://yadi.sk/i/f5fPRNk13PuXww
https://yadi.sk/i/syaSAsVj3PuXzg
https://yadi.sk/i/sYzthIP43PuY36
Схема: https://yadi.sk/i/yST5xpuy3PuY5W
https://yadi.sk/i/bI4dXPFu3PuY8s
Можно сказать задышал сразу, долго не мог понять почему глючит тр-дос. Уменьшил питание пзушки, подключил через диод и еще иногда глючил по другой причине. Добавил конденсатор 220 пф или исправлялось заменой 1533тм2 на 155тм2.
После полной отладки выложу проект с исправлениями, для повторения. Надеюсь это кому-нибудь будет интересно.
Надо признать, что я в дисководах и fdi разбираюсь еще хуже чем в спектруме, поэтому куча вопросов к уважаемому Константину Айги.
Константин! Этот контроллер из книги Инфоркон "Переферия своими руками" он с небольшими изменениями, приходилось ли вам подключать такой контроллер?
Пока отформатировал две дискеты (окно плотности заклеил), обе в конце форматирования показали меньше 2544 сектора на несколько штук. потом попробовал записать програмку на бейсике из трех строк. Обе попытки закончились ошибкой и повреждением первого сектора. Одна из дискет отформатировалась на виндовс у второй 0 дорожка повреждена.
Можно ли испортить дискету дисководом?
Пока записывать на bdi не буду, дискеты дефицит.
Какой способ записи образов дискет трдос посоветуете из под виндовс?

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 22 ноября 00:06:41 2017 | ссылка на пост    
Сообщений: 23
Автор: Константин Айги (CXEMATEKA.RU)
Randomize_Usr, если ПЗУ работает нестабильно, то это может быть признаком неисправности микросхемы. Когда контрольная сумма ПЗУ плавает, некоторые манипуляции с питанием могут помочь стабилизировать её работу. Но лучше такую ПЗУшку заменить.

Я бы посоветовал все-таки использовать комбинированную прошивку в корпусе 27C512, как сделано тут:
http://www.cxemateka.ru/v1/Other.png

Это положительно скажется на стабильности работы системы, т.к. и энергопотребление снизится, да и меньше будет нагрузка на адресную шину и шину данных процессора. Кроме того, на вышеприведенной схеме есть необходимые доработки, исключающие конфликты портов Спектрума и BDI, а также конфликт ПЗУ TR-DOS и ПЗУ 128К.

Ну, а сам контроллер вполне стандартный. При правильной сборке и подключении должен работать нормально.

Кстати, замена 1533ТМ2 на 155ТМ2 при исправности обеих микросхем по идее влияет только на энергопотребление системы. 155-я серия потребляет больше и создает больше шумов на шину питания. Возможно, тут недостаточно блокировочных конденсаторов... Вообще, стоило бы проверить напряжение на разных участках конструкции – желательно чтобы оно не опускалось нигде ниже 5.0 вольт.

Да, окно плотности записи заклеивается, т.к. ВГ93 не работает с дискетами высокой плотности (HD). Дискеты нужно форматировать на Спектруме из-под TR-DOS. А уже затем на предварительно отформатированную дискету можно записать образ, например, при помощи PC-утилиты – TELEDISK.EXE (DOS):
http://www.cxemateka.ru/ftp/teledisk_v2.23.zip

Если образ записать без предварительного форматирования дискеты на Спектруме, то TR-DOS, скорее всего, такую дискету не прочтёт.

TELEDISK понимает образы TD0. Любой TR-DOS образ можно легко преобразовать в этот формат при помощи утилиты TRX2X (DOS):
http://www.cxemateka.ru/ftp/trx2x.zip

Образы дискет берем отсюда:
http://vtrdos.ru/

P.S. Испортить дискету исправным дисководом нельзя.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 22 ноября 06:10:44 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, разобрался. Во первых перепутал номиналы блокировочных конденсаторов, впаял пф вместо нф, пришлось перепаивать. Во вторых спаял фильтр питания на дросселе и на старом ЭЛТ телевизоре картинка хорошая, ниче не дергается. Правда он без скарта и приходится только в ч\б режиме юзать. Читалка на К554СА3 не заработала совсем, хотя несколько микросхем попробовал. Заработала от пентагон-48 на 561ЛН2.
Но на LCD теликах со скартом, кадры все равно дергаются. Подскажите как привести к совместимости с современными ТВ. Есть смысл укорачивать синхроимпульс, или еще какие доработки помогут?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 ноября 12:08:37 2017 | ссылка на пост    
Сообщений: 13
Автор: Александр
Моё новое видео про Спектрум, а точнее, про новую клавиатуру для спекки:https://www.youtube.com/watch?v=9-tQgIgsIeo&t=2s
Кстати, вот трафареты: http://s018.radikal.ru/i522/1612/f5/210cbac5c0f5.jpg
Получше найти не смог, уж извините, я скачал и распечатал их уж очень давно, а файл удалил((
По вопросам пересылки кнопок - в ВК: https://vk.com/electro_crush или по e-mail:
electrocrush95@gmail.com

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 02:30:02 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Ещё вопрос - я слышал о таком устройстве, как Covox. Я могу его собрать и подключить к Спектруму на шину данных D0-D7, но мне нужно знать, будет ли он работать также, как и AY/YM???
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 02:35:24 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
iegova, обычно для стабильной картинки достаточно стабилизировать частоту строк в 15,625 КГц (снимать показания надо с 8-го вывода D40) и, обязательно, правильно собрать привязку к уровню черного:
http://zxbyte.ru/leningrad_video_out.htm

Александр, если Covox правильно собрать, разумеется, работать будет. Я делал когда-то подобные доработки - успешно )

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 09:44:28 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Константин, привязку к черному делал. И на старом телике нет проблем с изображением, но видимо новые тв слишком критичны к стандартам. Как исправлю это отпишусь.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 ноября 20:42:16 2017 | ссылка на пост    
Сообщений: 13
Автор: Randomize_Usr
https://yadi.sk/d/T2iwiGe43Q2QwB
Проект в P-CAD 2000, Книга "Переферия" В ней схема и описание наладки аналогичного контроллера.
Форматирование заработало даже лучше чем я ожидал. Отформатировались все дискеты даже которые ПК под ОС Виндовс не смог отформатировать. Загрузка предварительно созданной с помощью teledisk дискеты работает.
Пока не работает запись, буду разбираться по мере возможности. Дискеты действительно не портятся при записи, портится 0-я дорожка, после прекрасно форматируются.
Что я сделал чего нет на схеме:
1. Сигнал блокировки ПЗУ Спектрума (CSTRDOS НА СХЕМЕ) взял с 11 выв.DD10, транзистор ненужен.
2. DD5 не устанавливал (пока запись не работает)
3. Добавил конденсатор 750пф (наверно можно и меньше, но у меня небыло под рукой) между 2 выв.DD5 и общим проводом воткнул в панельку между 2 и 8 выводом. (Без этого конденсатора дискеты форматировались с ошибками)
4. Добавил резистор 1к между 12 выв. и +5в DD5, воткнул в панельку между 12 и 16 выводом.
Резистор не понадобится если установить микросхему DD5.
5. Добавил конденсатор 220пф между 12 выв.DD1 и общим проводом.(без него иногда глючил в tr-dos)
6. Уменьшил напряжение питания ПЗУ. 28 выв. отрезал от питания и включил в разрыв диод. Анодом к +5в катодом к 28 выв.(Без этого совсем в tr-dos не заходилось. Всякие глючные надписи высыпались на экран. Возможно это у меня такие ПЗУшки т.к. тест 48кб записанный в 573РФ2 и вставленный в контроллер, запускался без ошибок при входе в TR-DOS.)

И вопрос к знатокам. Чтобы это исправить надо делать привязку к черному или это другая проблема?


Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 25 ноября 02:25:07 2017 | ссылка на пост    
Сообщений: 23
Автор: Randomize_Usr
Забыл написать, доработки D34.4 , eD7.2, eD7.3 я не делал т.к. они есть в схеме контроллера BDI.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 ноября 02:39:12 2017 | ссылка на пост    
Сообщений: 23
Автор: Shram E-mail: kr1033eu1@inbox.ru
всем привет, скажите а как производить настройку экрана по горизонтали, то есть сдвинуть его влево в право?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 ноября 18:56:00 2017 | ссылка на пост    
Автор: Shram E-mail: kr1033eu1@inbox.ru
и еще есть вопрос к тем кто знает, выполнил расширение памяти до 128к, и при проведении теста пишет ошибка порт конфигурации записанно 00000000, считано 00000111, куда смотреть где искать ошибку
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 ноября 01:10:08 2017 | ссылка на пост    
Автор: 156
Спасибо за видео. Аж захотелось самому спаять,но где взять готовый набор?
Свой первый спек, который мне собрал отец на новый год, выкинул. О чем жалею.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 ноября 12:47:21 2017 | ссылка на пост    
Автор: Randomize_Usr
to Shram
Описание теста 128
http://d.zaix.ru/4Kgd.txt
ошибка порта конфигурации, возможно озу барахлит.
надо чтобы на озу напряжение было не менее 5в, у меня 5.1 например. конденсаторы повесь на каждую озушку по питанию.
изображение на Ленинграде сдвинуто немного, это нормально.
Я использую CGA адаптер
CGA/EGA to VGA HD Video Converter HD9800 GBS8200 на алиэкспресс 1000р стоит. им можно скоректировать положение и размер изображения.
На телевизоре элт знаю, что можно изображение скорректировать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 ноября 17:09:48 2017 | ссылка на пост    
Сообщений: 23
Автор: shram E-mail: Kr1033eu1@inbox.ru
Posts, огромное спасибо за ссылочку, давно искал такое описание.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 ноября 21:28:40 2017 | ссылка на пост    
Автор: Александр
Доброго времени суток! Пока схема моего синтезатора находится в разработке, я хотел бы спросить - можно ли выход биппера соединить с выходами AY, чтобы сразу в динамиках было слышно и синтезаторное, и бипперное звучание???
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 ноября 00:19:47 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё - сейчас думаю, каким методом его лучше подключить - через "шлейф" или "картриджным" методом через ОНП-КС-23???
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 ноября 01:10:06 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Randomize_Usr, режим записи на контроллере по многим причинам может не работать. Для начала, стоит проверить частоту на 24 ноге ВГ93. Частота должна быть равна 1 МГц. Штука в том, что ВГ93 работает и на удвоенной частоте, но только в режиме чтения. Кстати, существует доработка – турбо ВГ93. В режиме турбо, дисковод будет работать заметно быстрее и тише. Тут подробнее об этом:
https://zxpress.ru/article.php?id=4543

Также причиной проблем может быть глючная ВГ93, решается заменой микрухи.

Вот эту тему еще рекомендую изучить:
http://zx-pk.ru/threads/15046-podklyuchenie-3-5-floppy-k-pentagonu.html

Контроллер все-таки рассчитан на дисководы 5.25, а у них есть некоторые отличия от 3.5 дисководов, в частности присутствует съёмная резисторная сборка на входе – вынимается при установке дисковода вторым (B), третьим (C), четвертым (D):
http://zx-pk.ru/threads/15046-podklyuchenie-3-5-floppy-k-pentagonu.html?p=357839&viewfull=1#post357839

Что касается проблем с цветностью. Тут видимо что-то с сигналом BRIGHT. Вот недавно один товарищ подобную проблему решил так: "в цепях R+D1, R+D2, R+D3 понадобилось большее сопротивление. Брайт черный отображался как фиолетовый".

Shram, ошибка порта конфигурации чаще всего связана с неисправностью или неверным подключением ТМ9.

156, готовый набор для сборки Ленинграда купить сейчас едва ли где-то возможно, но все необходимые компоненты в продаже есть. Полистайте эту тему, тут есть ссылки на то, где плату Ленинграда можно купить. Ну, а остальные компоненты – не дефицит.

Александр, да, выход AY с биперным иногда объединяют. Вот соотв. фрагмент из схемы известного клона Scorpion (сигнал AUDIO = бипер):



Ну, а периферию все-таки лучше подключать через слот разъемы, краевые разъемы. При подключении шлейфом неизбежно возникают лишние "наводки", поэтому обычно каждый сигнальный провод в шлейфе чередуют с землей. Но всё равно надежно впаянный разъем предпочтительнее шлейфа.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 29 ноября 09:54:41 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Russell73
Привет всем. У меня вопрос. Имел ли дело кто нибудь с компьютером КР-05? Расположение и маркировка микросхем примерно такая же, как в Ленинграде 48к. Фото из сети- https://4.bp.blogspot.com/-ICXpyxI427c/WK8mudOAB7I/AAAAAAAACow/iFS_HXMDF_UfaNROSIYylMo-Q3rtv9MUwCLcB/s1600/20161116_171214.jpg

Применимы ли к нему доработки от Ленинграда 48к? (расширение памяти, установка муз сопроцессора и т.д.)

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 ноября 05:43:16 2017 | ссылка на пост    
Сообщений: 2
Автор: 156
КР-05 "Руководство по эксплуатации с принципиалками" https://cloud.mail.ru/public/Aa3Q/DAR5dDDU7
Источник - http://zx-pk.ru/threads/28156-elektronika-kr-05-pomogite-razobratsya-s-nominalami.html
А подскажите насколько близок к оригинальной схеме "Ленинграда" - LENINGRAD-2012? ПЗУ там посовременний...

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 30 ноября 07:12:34 2017 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Russell73, КР-05 - легендарный клон с 8-мью 573РФ2 в качестве ПЗУ ) Крутая штука ;)

Да, все доработки к нему применимы. По схеме легко найти соответствия и нужные контакты для внедрения доработок. Вот, например, соответствия для увеличения памяти и коррекции дешифрации портов - http://www.cxemateka.ru/v1/128k.png

D16-10 (Ленинград) = DD18-10 (КР-05)
D16-13 (Ленинград) = DD18-13 (КР-05)

D21-1...D28-1 (Ленинград) = DD32-1...DD39-1 (КР-05)

D3-2 (Ленинград) = DD2-2 (КР-05)

D17-13 (Ленинград) = DD21-13 (КР-05)

D9-8 (Ленинград) = DD16-8 (КР-05)

D14-3 (Ленинград) = DD13-3 (КР-05)

D14-11 (Ленинград) = DD13-11 (КР-05)

D39-9 (Ленинград) = DD29-9 (КР-05)

D37-15 (Ленинград) = DD41-15 (КР-05)
D38-15 (Ленинград) = DD40-15 (КР-05)

156, LENINGRAD-2012 основан на классической схеме "Ленинграда" - клон с целым рядом полезных доработок.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 30 ноября 11:27:30 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Russell73
156, спасибо за схему!

Константин, спасибо за ответ, хорошо что схемы похожи, на Ленинград 48к в интернете инфы больше.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 ноября 17:22:12 2017 | ссылка на пост    
Сообщений: 2
Автор: Randomize_Usr
Уважаемый Константин! Спасибо Вам за участие. Запись заработала. Проблема решилась заменой NMC27C256Q-20 на TMM27128AD-15. Причем 256Q у меня две штуки и записав в них одну и туже прошивку я увидел решение. Дело в том, что с первой из них, дискеты форматировались с ошибкой в первом секторе каждой дорожки, а со второй tr-dos жутко глючил.
В итоге имеем. Правильно собранный и из исправных деталей BDI должен заработать (плюс пару конденсаторов о которых я написал выше).
Испытания буду продолжать. Попробую два дисковода и др.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 2 декабря 01:11:06 2017 | ссылка на пост    
Сообщений: 23
Автор: iegova
Константин, укоротил строчный синхроимпульс и проблем с кадрами больше нет. Изображение чуть чуть двоит, но это уже мелочи.

http://www.picshare.ru/view/8378040/

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 08:48:21 2017 | ссылка на пост    
Сообщений: 13
Автор: Randomize_Usr
to iegova. Попробуй амплитуду sync уменьшить.
у меня были проблемы с синхронизацией пока я этот сигнал не пустил через делитель из двух резисторов по 5,1К.

Поэксперементировал с BDI. Вот отчет.
Это сделано вначале.(повторение с дополнениями)
0. DD16 установил IN74HC139AN (функциональный аналог К1531ИД14) полного аналога не нашел.
1. Сигнал блокировки ПЗУ Спектрума (CSTRDOS НА СХЕМЕ) взял с 11 выв.DD10, транзистор ненужен.
2. DD5 не устанавливал (пока запись не работает)
3. Добавил конденсатор 750пф (наверно можно и меньше, но у меня небыло под рукой) между 2 выв.DD5 и общим
проводом воткнул в панельку между 2 и 8 выводом. (Без этого конденсатора дискеты форматировались с ошибками)
4. Добавил резистор 1к между 12 выв. и +5в DD5, воткнул в панельку между 12 и 16 выводом.
Резистор не понадобится если установить микросхему DD5.
5. Добавил конденсатор 220пф между 12 выв.DD1 и общим проводом.(без него иногда глючил в tr-dos)
6. Уменьшил напряжение питания ПЗУ. 28 выв. отрезал от питания и включил в разрыв диод. Анодом к +5в
катодом к 28 выв.(Без этого совсем в tr-dos не заходилось. Всякие глючные надписи высыпались на экран.
Возможно это у меня такие ПЗУшки т.к. тест 48кб записанный в 573РФ2 и вставленный в контроллер, запускался
без ошибок при входе в TR-DOS.)
7. Резистор R16 заменил перемычкой.

*************** Продолжение ***********************
Стараюсь написать как можно доступнее. Может кому-нибудь покажется, что даже слишком.

8. Раздобыл 27С512 и установил вместо 27С256 в ПК (соответственно выполнил все доработки связанные с установкой
ПЗУшки такой ёмкости. И в BDI ПЗУшку ненадо ставить совсем),
в итоге конденсаторы из пунктов 3 и 5 не понадобились.
9. Резистор из пункта 4 заменил перемычкой (микросхема АГ3 попрежнему пока не установлена)
10. Бутерброд из РУ5 остужаю вентилятором в противном случае наблюдаются глюки с памятью
во время записи данных из памяти на дискету несколько байт портятся в памяти, причем
записанные данные остаются не поврежденными. В последствии заменю их на 41256.
11. Переключатель OFF-ON-RES убрал, он пригодился только во время отладки. В инструкции по наладке BDI
сказано, что переход в TR-DOS по команде randomize usr 15616 возможен при положении переключателя OFF, это не так.
Так-же триггер DD3 сбрасывается и остается в 0-м состоянии по команде randomize usr 15616 только без установленной
ПЗУ с TR-DOS. При установке ПЗУ с TR-DOS этот триггер сбрасывается на долю секунды и возвращается в 1.
12.DD3 установил К155ТМ2. Это триггер - переключатель между TR-DOS и SOS, очень капризная штука,
в таком виде работает, но у меня к ней остались еще вопросы.
13 Резистор на схеме без обозначения к 1выв.DD20 ненужен. Эту доработку я нашел на pk-zx, подрисовал чтобы не забыть.
Без этого резистора все работает, как впрочем и с ним.
14. Для тех кто использует ATX источники, чтобы увеличить немного напряжение 5В (у меня получилось + 0,2В)
без разборки самого источника можно попробовать пригрузить немного 12В канал. Достаточно 24 Ом 10 Вт сопротивления.
Об этом я узнал только когда разобрал свой источник. Схем источников много и разных, может и не получится такой фокус.

Обновил архив
https://yadi.sk/d/T2iwiGe43Q2QwB

Полезный комментарий + 2 | Бесполезный комментарий - 0
четверг, 7 декабря 19:49:26 2017 | ссылка на пост    
Сообщений: 23
Автор: Randomize_Usr
Константин! Спасибо за подсказку. Указанных Вами диодов небыло вовсе. Теперь с цветностью все в порядке. И BDI с установленном на нем CGA адаптером и звуковым сопроцессором.

Еще потестил BDI. С двумя дисководами 3,5" 1,44Мб BDI работает, копировал с одного на другой дисковод. Дисковод с буквой "B" работает на прямом кабеле без перекруток, для того чтобы работал дисковод с буквой "А" надо перекрутить три провода, так чтобы 10 контакт попал на 12, а 12 соответственно на 10.

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 9 декабря 23:34:39 2017 | ссылка на пост    
Сообщений: 23
Автор: Александр
Добрый вечер. Пока паяю разъём для подключения платы звукового чипа, хотелось бы узнать кое-что. На днях я нашёл такую микросхему - p82c55. Поискав в интернете информацию, я узнал, что эта микросхема представляет из себя контроллер параллельного интерфейса. Вопрос - могу ли я собрать контроллер дисковода на этой микросхеме или нет?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 11 декабря 18:38:21 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И ещё - куда мне подсоединять выводы a14 и а15 - к процессору или к ПЗУ? Дело в том, что у меня a14 и а15 на ПЗУ не связаны с а14 и а 15 на процессоре.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 11 декабря 19:55:48 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Незадача((( звуковой процессор не работает должным образом - генерирует только лишь сигналы баса. А самое основное - фон и шипение генератора помех не слышно(( Всё пропало((((( Опять(((
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 00:32:09 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
[b]Randomize_Usr[/b],что за вывод IOWR+A0 у вас на выходе из схемы звукового чипа??? Куда его подсоединять???
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 00:38:04 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Randomize_Usr
to Александр. Это входной сигнал для платы звукового сопроцессора. Если у вас Ленинград то то этот сигнал берется с DD14. 11выв. и перемычку на XP5 ставите между 2 и 3 выв. А если Вы сделали доработку eD5.1 то берете с выв.3 eD5.1. и перемычку на XP5 ставите между 1 и 2 выв. На элементах DD1.4 DD2.3 и DD3.2 собран бипер, На музыку никак влиять не должно при правильной сборке и исправных деталях.
Уточните, по какой схеме Вы собрали музыкалку?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 12:59:11 2017 | ссылка на пост    
Сообщений: 23
Автор: Александр
У меня схема примерно та же, что и у вас. Я проектировал плату, отталкиваясь как от своей, так и от вашей схемы. Вообще, изначально, когда я не нашёл такого контакта - /IOWR+A0 на плате, я поступил по-другой, "похожей" схеме - взял сигналы A0, /IORQ, /WR. Сигналы A0 и /IORQ смешал через 2 диода, между которыми поставил резистор 1к на массу. Отсюда же(с середины) вывел провод и подпаял его вместе с проводом от /WR уже так, как у вас - на DD2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 17:38:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
И никаких перемычек, типа Ленинград/Композит, Abc/Acb! Только один-единственный выключатель деления частоты на 26 ногу.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 17:45:53 2017 | ссылка на пост    
Александр
Сообщений: 121
Автор: Алексей E-mail: c_a_v@mail.ru
Подскажите пожалуйста, чтобы запуститься с ПЗУ 27С512 в режиме 48к, надо ли проводить какие-нибудь доработки по схеме выше ?
И какую прошивку следует использовать, стандартная sos48 подойдёт ?

Дорабатывать до 128к не планирую, не хочу обвешивать плату проводами, лучше соберу отдельную модель :)

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 декабря 10:19:55 2017 | ссылка на пост    
Автор: Randomize_Usr
to Алексей.
на DD29 отрезайте А13 от 20выв.
20выв соединяйте с общим проводом.
отрезайте 26выв. от +5в.
Соединяйте А13 к 26выв.
Записывайте sos48 в последнюю четверть 27512 или используйте прошивку автора 27512.bin
Все.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 18 декабря 18:50:02 2017 | ссылка на пост    
Сообщений: 23
Автор: iegova
Константин, апгрейдил память до 256к + подключил YM2149F. После всех доработок получилось вот это







А между прочим именно Вы вдохновили меня на этот подвиг

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 26 декабря 20:41:32 2017 | ссылка на пост    
Сообщений: 13
Автор: Роман
Круто, очень круто!!!👍... свой Ленинград пока положил на полку, время появится надо будет дособрать). Я тут на счёт корпуса заморочился, пробую спроектировать модель для 3д принтера. Товарищи кто как делает корпус для новодела? А то обратил внимание у всех просто голые платки 🤔
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 декабря 22:51:04 2017 | ссылка на пост    
Роман
Сообщений: 44
Автор: Константин Айги (CXEMATEKA.RU)
iegova, отличная вышла поделка. Собрать клон Спектрума на макетке посложнее будет, чем апгрейдить классический вариант «Ленинграда». Это точно подвиг, не иначе! )

Роман, помню у меня, в своё время, основной рабочей лошадкой был клон на основе всё той же схемы Зонова в корпусе от БК-0010. А у товарища была поделка в самопальном корпусе из оргстекла. 3D-принтеров тогда ещё не было )

С наступающим всех! ;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 27 декабря 06:59:27 2017 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: iegova
Роман, я свой засунул в довольно компактный корпус от тв приставки. А клавиатура у меня отдельная, пришлось кишки старой клавы вынимать, резать гетинакс, напаивать на него контакты и напильником их шлифовать.Потом приклеивать термоклеем и соединять по схеме. Кстати порты для синклер джойстиков встроил в саму клаву, можно юзать джои от сега мастер систем или атари.




Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 27 декабря 11:49:14 2017 | ссылка на пост    
Сообщений: 13
Автор: Randomize_Usr
С новым годом Народ!
Выжившие есть?
Вот накучерявил адаптер ps/2 клавиатуры.

и схема.
Прерывание.

Стандартные 40 кнопок работают отлично. Еще расширенные кнопки дописать надо и скриптовые, например Backspace на PS/2 клаве нажимаешь, а адаптер Caps Shift + 0 выдает итд.
Есть желающие покодить? А то времени маловато.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 3 января 02:04:22 2018 | ссылка на пост    
Сообщений: 23
Автор: iegova
Randomize_Usr, это на микроконтроллере? Выглядит слишком сложно. И Вас с праздником!
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 3 января 11:41:32 2018 | ссылка на пост    
Сообщений: 13
Автор: 156
А к какой плате видео захвата можно подключить спектрум? Не хочется ставить отдельный экран.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 января 14:58:16 2018 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
156, через PAL-кодер Спектрум можно к любой плате видеозахвата подключить. Можно, конечно, найти и такое устройство, которое RGB или полноценный SCART-ввод поддерживает. Но такие устройства, как правило, стоят недешево и найти их в продаже сложно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 10 января 22:58:36 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: 156
Спасибо за ответ.
Спаял Ленинград-2012. Прикупил себе Rombica Pro Studio, как самое дешевое. При подключение тюльпаном Ловит кадр потом зависает, потом опять кадр и т.д.
При подключение к ЖК ТВ SCARTом дает четкую картинку, полностью проходит встроенный тест памяти. При подключении тюльпаном при прохождении теста на экране "пила" между бордюром и рабочей областью. Наверно давно решенная проблема и на форумах обсуждалось, попробую найти.
Заметно греются память. Это норма?
Надо отмыть плату от всякого, а чем? Впервые спаял не 2 проводка.
Дальше тестов не прошел, нет клавиатуры. На днях допаяю и подключу. Там посмотрим.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 января 00:24:34 2018 | ссылка на пост    
Автор: Ольга

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 14 января 12:03:21 2018 | ссылка на пост    
Автор: Randomize_Usr
Здравствуйте! Вот запихнул всё в покупной корпус.


Проект PS/2 адаптера
Я расчитываю, что этот адаптер заработает на турбо Спектруме. Пока не могу это проверить. И если взять кристалл со свободным 8-ми битным портом то шифратор не понадобится. Для нетурбированного Спектрума подойдут микросхемы 555, 1533, 155 серии.
Для подключения к Ленинграду требуется:
15выв. DD37 отрезать от схемы и соединить его с +5в. или DD37 вовсе не устанавливать.
4выв. DD38 отрезать от схемы.
Почти все кнопки работают кроме курсора и F1-12. На курсорные кнопки планирую вывести кемпстон, на F планирую выводить Rand usr 15616, rand usr 15619, выбор дисководов A и B *"A:", *"B:" вобщем по мере возможности буду реализовывать.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 21 января 16:53:30 2018 | ссылка на пост    
Сообщений: 23
Автор: Роман
Супер 👍)))
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 января 20:38:37 2018 | ссылка на пост    
Роман
Сообщений: 44
Автор: Виталий
Всем доброго дня!
Прощу помощи в адаптации звукового сопроцессора для подключения к ХТ (isa 8 bit) ни где не могу найти адекватного описания. Даже CF/IDE нашел под синклера, но не звук.
И в довесок, всем кто хочет корпус оригинальный для свой сборки, прошу, обращайтесь тут ко мне или https://vk.com/club153092268 я вам помогу спроектировать и распечатать корпус, крепления и тд. Это не реклама, просто вижу что большинство уже перешло на уровень "в корпусе" и хотел бы помочь с этим.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 февраля 09:10:30 2018 | ссылка на пост    
Сообщений: 4
Автор: Антон E-mail: zaharnicky@sarintel.ru
Всем здравствуйте.
Константин, отличное видео, как было сказано уже не раз. Думаю попробовать повторить, насколько получится или нет не уверен, я далеко не профи в этом. Вопрос возник, вроде поискав по обсуждению не нашел ответа. Печатка должна быть именно промышленного изготовления, с металлизацией отверстий, или как? Ведь если такую плату изготовить в домашних условиях, то без металлизации, а значит нужно пропаивать каждую микросхему и др элементы с обеих сторон. Или все же возможно ЛУТом или фоторезистивным методом дома такую плату изготовить и применить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 13 февраля 20:40:07 2018 | ссылка на пост    
Автор: Титовский Г.
Антон, такую плату реально изготовить в домашних условиях. 2 года назад я делал плату для Радио-86РК. Все микросхемы ставил в цанговые панельки. Каждый контакт панельки имеет ступеньку диаметром 1,3мм. Со стороны деталей припаивал ступеньку, со стороны дорожек - оставшийся вывод. Перемычки-переходы с одной стороны на другую - остатки "хвостов" от резисторов. Всё нормально паяется. Сначала сам пугался, теперь не боюсь.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 14 февраля 02:12:31 2018 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, по поводу AY к PC почитайте тут:
http://zx-pk.ru/threads/22202-prikrutit-ym2149f-k-ibm-pc.html

Антон, для ЛУТа/фоторезиста плата Ленинграда сложновата. Тут надо очень хорошо потрудиться: не только микросхемы и резисторы с диодами нужно будет с двух сторон пропаивать, но ещё и переходные отверстия соединять, коих будет немало. Кстати, готовую плату Ленинграда достать не такая уж и проблема:
http://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p34

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 февраля 06:28:26 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: 156
Перепутал блоки питания. Спалил на Ленинграде рушки и d39. Поставил симмку и напоял ир22 с перекосом ног. Все работала в 48к режиме. Решил расширить до 128 спаял схему для расширения
http://www.cxemateka.ru/v1/128k.png как тут, за исключением коррекции. Пробую запустить с ней. Но экран черный.
http://ibb.co/hsyKNc
Можете подсказать с чего начать копать?
И всех причастных с праздником!
Как то трудно оставить коммент с телефона.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 23 февраля 09:23:21 2018 | ссылка на пост    
Автор: Виталий
Константин, проглядел подробно. Ну по подключению через юсб или лпт полно, а нужно прямо в ISA 8 бит. Конечно уже думаю найти лпт иса 8 и скопировать чтобы на одной плате было. Но хочу именно настоящую карту спека сделать. И именно карту =)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 23 февраля 10:43:57 2018 | ссылка на пост    
Сообщений: 4
Автор: 156
Ну вроде заработал. Отходил MA2 от симм, вернулся и заработало. Надо пропаять нормально все контакты, а то от одного касания проблемы. И начинать играть.
http://ibb.co/cmQQfx

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 23 февраля 12:49:54 2018 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
156, коррекция дешифрации портов для версии платы LENINGRAD-2012 действительно не нужна.

А вот при доработке до 128к нужно ещё учитывать некоторую разницу в схемах оригинального Ленинграда и 2012-го:

D16-10 (Ленинград) = DD16-10 (2012) – перемычка J7 (A14_MUX)
D16-13 (Ленинград) = DD16-13 (2012) – перемычка J6 (A15_MUX)

D21-1...D28-1 (Ленинград) = DD21-1... DD28-1 (2012)

D3-2 (Ленинград) = DD3-13 (2012)

D17-13 (Ленинград) = DD17-13 (2012) – перемычка J8 (SCRSEL)

D9-8 (Ленинград) = DD9-8 (2012)

D10-13 (Ленинград) = DD46-4 (2012) – сигнал SEG00

Схема LENINGRAD-2012:
http://www.cxemateka.ru/ftp/LENINGRAD-2012.rar

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 февраля 08:27:10 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, готового такого решения я не видел, но можно используя наработки, приведенные в вышеупомянутой теме изобрести что-то своё. Если у Вас получится такую плату изготовить, не забудьте поделиться с общественностью. Сейчас я как раз работаю над новой версией сайта, чтобы удобнее было схемы/файлы и т.п. тут у нас публиковать )
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 февраля 08:34:13 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: 156
Константин, спасибо за ответ.
Основные сигналы для расширения я брал с контактов Z-Connector(5 страница схем) а не с контактов микросхем.
Вместо D14-13 (OUTIORQ) он же D20-20 я взял D53-6 (2012 - /IORQ2) насколько это правильно? Что дает ЛЛ1 для этого сигнала, кроме задержки, и насколько это критично?

Ниже часть доработки на запрет записи в память по нижней 1/4 адресов?
D9-8 (Ленинград) = DD9-8 (2012)
D10-13 (Ленинград) = DD46-4 (2012) – сигнал SEG00
Я попробовал её добавить но с ней тесты памяти не прошли. Убрал и тесты памяти пошли, но программы загружаются со сбоем. Думал, что автор 2012 заранее сделал эту доработку, хотя в схемах я её не нашел.
И только сегодня, после просмотра схем, я нашел свою ошибку при добавлении. Вместо DD40-3 (вход сигнала SEG00) припаял к DD40-2.
ПС Думаю, что автор 2012 первоначально предусматривал основное расширение памяти с добавлением микросхем памяти вместо замены. Отсюда наличие J9 для CAS и отсутствие J для сигнала WE

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 27 февраля 13:05:20 2018 | ссылка на пост    
Автор: Randomize_Usr
Обновил проект PS/2 адаптера.
Добавил несколько кнопок в том числе курсорные.
Добавил фотку с конфигурационными битами для ATmega328.
Скачать проект PS/2 адаптера

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 1 марта 19:28:10 2018 | ссылка на пост    
Сообщений: 23
Автор: Максим
Решил восстановить и проапгрейдить свой клон Спектрума Электроника КР-05, закупаю компоненты.
Константин, заметил что в схеме да и на плате КР-05 отсутствуют подтягивающие к питанию резисторы на шине данных (D0-D7), хотел спросить нужно ли их впаивать? Там даже место для них не развели...

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 9 марта 14:24:14 2018 | ссылка на пост    
Сообщений: 3
Автор: Randomize_Usr
Обновил проект PS/2 адаптера. v1.0b.
Добавлены скрипты.
На клавишах F1,F2 - RANDOMIZE USR 15616 и 15619
На клавишах F5,F6 - *"A:" и *"B:" выбор дисковода.
Переход в E режим на клавише TAB.
Сброс контроллера на клавише Pause.
Скачать ZXKeyb_proj v1.0b.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 11 марта 13:35:53 2018 | ссылка на пост    
Сообщений: 23
Автор: Максим
Хотел еще спросить, кто-нибудь пробовал подключать эмулятор флоппи типа "Gotek" к спектруму? Устанавливал как-то такой на старый синтезатор Yamaha, вместо штатного дисковода. Так-то удобная штука, можно пользоваться тысячей дискет на одной флешке.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 11 марта 17:00:15 2018 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
156, порт #7FFD схемы расширения памяти должен блокироваться при входе в TR-DOS (сигнал DOS 2012). Поэтому тут нужно использовать не IORQ2, а IORQ3 (D49-3).

Да, сигнал WE дорабатывается на запрет записи в область ПЗУ. Дело в том, что после доработки до 128к, при записи в область ПЗУ происходит запись в одну из 16 килобайтных страниц ОЗУ, что неправильно. В принципе большинство программ будут нормально работать без этой доработки, но не все...

Максим, без этих 8-ми резисторов на шине данных (15к к +5В), не все программы будут работать. А именно проблемы будут с некоторыми программами, использующими прерывание IM 2. Дело в том, что это прерывание при вычислении адреса запуска подпрограммы использует байт, считанный со свободной шины данных. При наличии резисторов – там будет #FF, при их отсутствии – неизвестно что, а это плохо )

По поводу эмулятора "Gotek":
http://zx-pk.ru/threads/25546-proshivka-gotek-floppy-disk-emulator-dlya-raboty-s-zx-spectrum.html

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 11 марта 17:59:22 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Максим
Константин, понял, спасибо за разъяснения и ссылку. Прошил свой "Gotek" последней прошивкой от Keir Fraser. Осталось реанимировать КР-05 и собрать BDI. Вы, как-то обещали дельную схему контроллера дисковода выложить...)))
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 марта 17:00:07 2018 | ссылка на пост    
Сообщений: 3
Автор: Александр
Ура!!! Наконец-то заработал мой звуковой чип. Я обнаружил ошибку в схеме человека "Randomize_Usr" У него выход с делителя частоты сделан не с 9, а с 8 ноги триггера, соответственно на вход подавался противофазный частотный импульс. Я немного переделал схему, завёл выход с делителя частоты с 9-й ноги, а также убрал сборку биппера и завёл бипперный сигнал на микшер непосредственно с компьютера по той схеме, которую мне порекомендовал Константин - через конденсатор 0,1 мкФ и два сопротивления по 2,7кОм. Но ещё остались мелкие недочёты по звуку - некоторые сигналы, как бы так сказать, не совсем правильно звучат, то на полтона выше, то на полтона ниже. Сравнивал со звуком на эмуляторе. Что это может быть???
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 18 марта 21:16:02 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблему решил - в плате звукового чипа была микротрещина на шине данных, что приводило к отсутствию D7 на самом процессоре. В общем, скоро ждите очередное видео про мой спек ;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 19 марта 22:12:45 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Yuriy
Добрый день.
Нарисовал тут на досуге плату для Ленинграда-1. Хотелось бы услышать немного конструктивной критики, так как дело это для меня новое. Сам вижу что плата нарисована плоховато, но хотелось бы понять в чем основные косяки. Не могли бы знающие люди посмотреть и высказать свое аргументированное мнение на предмет того что там исправить, дополнить, улучшить?
Верхний и нижний слои платы в пдфках по ссылкам ниже:

https://1drv.ms/b/s!Ajs6ve4gx7Bfgf1bDkEwBSmheSEc3g
https://1drv.ms/b/s!Ajs6ve4gx7Bfgf1c1b13b4647mJTlA

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 25 марта 19:09:16 2018 | ссылка на пост    
Сообщений: 3
Автор: iegova
Решил встроить клавиатуру в спек, по моему получилось не плохо.


Полезный комментарий + 2 | Бесполезный комментарий - 0
вторник, 27 марта 14:58:26 2018 | ссылка на пост    
Сообщений: 13
Автор: Константин Айги (CXEMATEKA.RU)
Yuriy, видно, что плата отрисована с доработками. В частности явно просматривается наличие музыкального сопроцессора ) Для полноты картины не хватает принципиальной и монтажной схем. Ну, и чтобы плату можно было самостоятельно изготовить, нужны изображения только верхнего и нижнего слоев (без шелкографии и т.п.)

iegova, выглядит, как классика начала 90-х )

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 29 марта 19:11:22 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Yuriy
Схема:
https://1drv.ms/b/s!Ajs6ve4gx7Bfgf1dNQFViOrnQ5_n1Q
Это Лениград-1, перерисованный с листа "классической" его схемы и дополненный доработками с Вашего сайта. Монтажной схемы у меня и самого нет )
Меня интересуют возможные проблемы трассировки, такие как толщина дорог, необходимость наличия полигонов, и тому подобные принципиальные косяки. Проверять соотетсвие схемы и разводки конечно не нужно. Ну вот в общем какое-то такое вот мнение о моей трассировке нужно. На счет самому изготовить, не уверен. Там сейчас задана толщина дорог 0,15 и диаметр переходов 0,25. Вряд ли такое можно откатать лазерным утюгом.

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 31 марта 23:28:05 2018 | ссылка на пост    
Сообщений: 3
Автор: Yuriy
Предполагаемый внешний вид:
https://1drv.ms/u/s!Ajs6ve4gx7Bfgf1eK2kW4jXHVDSTyg

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 31 марта 23:34:43 2018 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Yuriy, да, для ЛУТа, конечно, плата сложновата. Но можно заказать изготовление где-нибудь.

Ну, а разведено все, на мой взгляд, вполне толково. Главное чтобы толщина дорожек шины питания была достаточной, ну, и место для блокировочных конденсаторов должно быть предусмотрено. А всё прочее уже не так важно.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 2 апреля 11:32:28 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Brother_Thief
Добрый день. Подскажите по возможным проблемам.

Собираю Ленинград 48к.

Все собрано и готово, запускаю без процессора, получаю следующую картину:

https://sun9-8.userapi.com/c840433/v840433025/71995/bSa9SSlTjW4.jpg

Нет 3.5Mhz на 6й ноге. Смотрел в сторону D1 Л555ЛН1, стоит резистор на 470 Ом, пробовал его снимать и запускать, результат тот же. Не поднимается частота.

На осцилографе Очень рваная синусойда, сильно зашумлена.

Запуск с цпу и ром + памятью выдает следущее:

https://sun9-https://sun9-3.userapi.com/c840433/v840433025/7198c/05eT3dC5z2Y.jpg

Судя по осцилограммам с Video, там присутсвует какой-то сигнал, но больно уж зашумленный.

На всех микросхемах есть питание 5В.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 6 апреля 11:17:19 2018 | ссылка на пост    
Сообщений: 5
Автор: Brother_Thief
Сообщений: 5
Автор: Brother_Thief
Доработал стабилизацию вертикальной развертки, полосы перестали бегать, но стоят на одном месте. Тактовая частота на 6й ноге процессора не появилась.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 6 апреля 14:54:39 2018 | ссылка на пост    
Сообщений: 5
Автор: Александр
Доброго времени суток. Пришёл мне контроллер, причём не просто контроллер, а контроллер FDC по схеме от НЭМО. Я его сейчас пытаюсь подключить к моему "Ленинграду" методом проб и ошибок, руководствуясь дополнительно схемой другого компьютера - "Kay-1024". Вот на первых фотках внешний вид после подключения и работа при включении:





А вот такую "шляпу" он мне выдаёт, когда я выбираю тот самый пункт "TR-DOS", в надежде, что он не перезагрузит комп:



С подключением пришлось немного повозиться. Здесь я, кстати, скачивал схему дисковода: http://fayloobmennik.cloud/7234499 Отдельно я также нашёл схему компьютера "Kay-1024",и начал искать аналоги тех сигналов, которые не были обозначены в Лениградской схеме. Так, я предположил, что сигнал "DOS\", идущий с контроллера - это сигнал включения TR-DOS и его нужно подавать на 11 вход инвертора D1, который, в свою очередь, подключён к 1 ноге 27512.
Сигнал, обозначенный как "F", я вывел из 6 ноги инвертора D1, т.к. это сигнал частоты 14 МГц перед входами триггеров-делителей. В схеме "Kay-1024", кстати, этот сигнал ещё дополнительно проходит через повторители ЛП8 с замкнутыми на массу управляющими выводами. У меня повторителей не нашлось, поэтому я вывел его напрямую с 6 ноги.
Сигнал "IOGE\" формируется непосредственно на контроллере из сигнала "IORQ\" или из сигнала "DOS\" через повторитель, но на плате компа мне он не нужен, поэтому я ничего к нему не паял.
Сигнал "RS\", как ещё один сигнал управления включением TR-DOS, я вывел из 3 ноги eD7, руководствуясь той же самой схемой другого компа "Kay-1024". Сама третья нога соединена с 27 ногой 27512.
Сигнал CSROM\ я взял все по той же схеме "Kay" - от RDROM\, добавив резистор 680 Ом между 4 выводом D12 и 22 выводом 27512.
Остальные сигналы я нашёл в компе без каких-либо дополнительных ухищрений. Но TR-DOS, как видите, не работает. Помогите мне разобраться с подключением, пожалуйста.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 8 апреля 03:44:50 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Александр
Сообщений: 121
Автор: Александр
Вместо повторителя лп8 запаял дополнительно микросхему поверх триггера D9 - eD7 к555лн2 и использовал 2 её инвертора для усиления сигнала. TR-DOS стала запускаться, но только 1 раз, когда включаешь комп, после чего, как перезагружаешь его и снова пытаешься запустить, снова появляются треугольники.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 8 апреля 09:58:56 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблему частично решил, использовав вот эти доработки: http://www.z80a.ru/04 Треугольники исчезли, теперь TR-DOS можно запустить даже после сброса, а не только после включения, но при вводе какой-либо команды, будь то "A>run" или "A>*"b"" комп зависает, вследствие чего у меня появилось ещё одно подозрение на неисправный дисковод. Сами доработки я использовал ещё не все. Сигналы RS и DOS у меня так и остались подключёнными к 27 и 1 ноге ПЗУ, соответственно, при этом сигнал DOS с первой ноги вообще убирать нельзя, иначе TR-DOS перестаёт запускаться, а вместо этого комп просто перезагружается. Сигнал RS я убирал на +5В через резистор 10к вместо 3 ноги eD7, но особых изменений это не дало.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 8 апреля 19:34:16 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Дисковод заменил, но проблема с зависанием не исчезла. Шпиндель дисковода останавливается при входе в TR-DOS, но при вводе команды RUN система зависает, а шпиндель снова начинает вращаться, при этом головки не двигаются и светодиод на передней панели дисковода не горит.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 10 апреля 22:25:21 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Это неожиданно, но... На команду BREAK система реагирует и снова выводит курсор вместе с ранее введённой командой. Помогите мне разобраться, в чём дело?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 00:35:46 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, клавиша BREAK в режиме TR-DOS прерывает текущую операцию, так и задумано )

А вообще, похоже на конфликт портов ввода-вывода.

Для начала, немного о доработках для корректной работы контроллера дисковода согласно схеме (узел "Установка ПЗУ 27C512"):
http://www.cxemateka.ru/v1/Other.png

Вход в TR-DOS осуществляется при обращении по адресу 15616 (#3D00). На самом деле в стандартном ПЗУ 48к по этому адресу расположен шрифт, а не исполняемый код. Однако контроллер дисковода перехватывает обращения по этому адресу и формирует сигнал "Выбор TR-DOS" (DOS по схеме NEMO FDC).

Когда сигнал "Выбор TR-DOS" активен, на первой ноге 27C512 устанавливается низкий уровень – выбирается страница с прошивкой TR-DOS. Далее элемент eD7.1 блокирует выбор ПЗУ 128к во время работы контроллера дисковода, что важно. А элемент eD7.3 блокирует порты ввода-вывода основной схемы, дабы избежать конфликта портов контроллера и Спектрума. Узел D34.4, eD7.2 предотвращает сваливание в TR-DOS при работе подпрограмм ПЗУ 128к. В контроллере NEMO FDC для этой цели предусмотрен сигнал RS. Сюда можно подать сигнал "Выбор ПЗУ 128к", тогда узел на элементах D34.4, eD7.2 можно не собирать.

Рекомендую проверить блокируется ли сигнал IORQ при входе в TR-DOS (элемент eD7.3). Если тут всё в порядке, то надо проверить поступает ли напряжение +12В на 40-ю ногу ВГ93. Без дополнительного питания ВГ93 работать не будет. Кстати, в NEMO FDC есть защита ВГ93, судя по схеме. Это хорошо. А то эти микросхемы в момент выходят из строя, если подать +5В на ногу 21 и в тоже время ничего не подать на 40-ю ногу...

Полезный комментарий + 2 | Бесполезный комментарий - 0
среда, 11 апреля 02:19:38 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Brother_Thief, нужно проверить работу D2 (ТМ2). На 3-й ноге D2 должно быть 14 МГц, на 5-й ноге - 7 МГц, на 9-й ноге - 3,5 МГц.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 02:45:11 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Brother_Thief
Константин, на D2 тишина, поменял кварц на советский аналог, поменял ЛН1 - D1, поменял ТМ2 - D2, тишина. Не поднимается частота.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 14:53:04 2018 | ссылка на пост    
Сообщений: 5
Автор: Brother_Thief
Блок питания использую 5В - 2А. На всех МС присутствует 5В.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 15:08:38 2018 | ссылка на пост    
Сообщений: 5
Автор: Роман
Brother_Thief, в своё время очень помогла книжка по настройке Ленинграда, и осциллограф) . Ссылка на брошюру здесь выше встречается.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 20:45:59 2018 | ссылка на пост    
Роман
Сообщений: 44
Автор: Роман
По схеме D1, 6й вывод , выход частоты, если нет, проверяй схему включения D1
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 20:59:31 2018 | ссылка на пост    
Роман
Сообщений: 44
Автор: Александр
Перепаял... на 1 вывод ED7 у меня идёт выбор TR-DOS инвертированный с D1-10( в моём случае - с ED8-6, на 2 идёт выбор пзу128к с ED1-12 и уходит на RS в контроллер, с 3-го уходит на 27 ногу ПЗУ. Если от 2-го вывода ED7 отпаять соединение ED1-12 и RS, оставив эти выводы соединёнными в воздухе, то при старте комп запускает 48к Basic, а не 128. Если оставить, комп запускается, как обычно, но TR-DOS опять "глючит" с запуском дискет. Провода с третьего элемента ED7 я перепаял на освободившийся второй, думая, что третий элемент неисправен - всё равно "глючит". Даже жёлтый провод 12 В подпаивал напрямую к 40 ноге ВГ93, всё равно - нет запуска. ( Эх, хоть бы показал сообщение "No disc", а так вообще ничего не показывает(
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 апреля 23:40:59 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
ВНЕЗАПНО!!!.... Вышел из "глюка", показал сообщение No disc. Ввожу команду RUN, 2 секунды, опять No disc. Вроде бы заработал, думаю... Нажал Reset, выбираю TR-DOS снова, надписи о версии TR-DOS внезапно начинают отображаться не сверху, а снизу, и клавиатура перестаёт работать на ввод. Выключаю комп, включаю снова, Выбираю TR-DOS, надписи снова вверху, как обычно. Ввожу команду RUN - "глюк". Жму Break, ввожу FORMAT "A" - опять "глюк". КАК ЭТО НАЗЫВАЕТСЯ??????
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 12 апреля 00:59:52 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Ещё я обратил внимание на выход сигнала IORQGE с контроллера. Он сделан через перемычку. В положении 3-4 (по схеме) сигнал IORQGE формируется из сигнала DOS через повторитель. На управляющий вход подаётся сам DOS, вход сигнала подведен к 5 вольтам, т.е. при лог. 0 на DOS повторитель выдаёт лог.1, а при лог.1 на DOS - состояние Z, т.е. отключается. Могу ли я использовать этот сигнал для блокировки IORQ на элементе ED7.3, а также подать на первый вход элемента ED7.1???
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 12 апреля 17:42:54 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблема частично решилась. Заменил триггер DD11 на плате контроллера - с какого-то перепугу эта микросхема оказалась нерабочая. Теперь при попытке ввести любую команду он пишет "No disk" за исключением команд A*"b" и BREAK. При этом дискета в дисковод вставлена, окно плотности заклеена. При вводе RUN шпиндель начинает вращаться, но головки не двигаются.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 14 апреля 23:54:21 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Brothrr_Thief
Роман, а Вы сможете мне приблизительно накидать, какие надо сделать доработки. Не стартует генератор частоты, на осциллографе по нулям, так, крайний шум.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 апреля 12:15:57 2018 | ссылка на пост    
Автор: Сергий
Здравствуйте подскажите пожалуйста новичку EPROM 27C128 (для версии 48k) как подключать, у меня Ленинград 2 и нужно-ли какие-то перемычки ставить
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 апреля 17:08:07 2018 | ссылка на пост    
Автор: Александр
[b]Brother_Thief[/b], если на осциллографе нет синусоиды, скорее всего не приходит питание на микросхемы D1, D2 и D11 - они участвуют в формировании сигнала частоты. Если питание приходит, значит микросхемы нерабочие. Меняйте их все по очереди. Если все замените, но всё равно сигнала не будет, значит нерабочий кварц, его тоже меняйте. В процессе замены микросхем внимательно осмотрите плату на предмет микротрещин и разрывов в дорожках. И, если конечно, вдруг сигнал появится, вот одна из доработок (на рис.2): https://yadi.sk/i/fhPdPZzf3UURym
У меня комп с ней моментально заработал и без нареканий))
[b]Сергий[/b]вот расположение выводов микросхем памяти EEPROM: https://cdn.hackaday.io/images/original/1153191506083027242.gif

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 17 апреля 06:56:55 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман
Да, все правильно сказано Александром, касаемо наладки D1, D2. А что за осциллограф? Точно рабочий??😏
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 17 апреля 15:51:31 2018 | ссылка на пост    
Роман
Сообщений: 44
Автор: Вовчик
Здравствуйте, скажите какой собрать самый простой программатор ПЗУ, чтоб было минимум деталей , и минимум мороки при настройке .Заранее огромное спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 18 апреля 13:04:08 2018 | ссылка на пост    
Автор: Александр
Ребят, подскажите программу, которая пишет TRD на дискеты, используя USB-дисковод, пожалуйста. И ещё кое-что - больно уж длинноватый у меня сигнал INT на компе:[IMG]http://images.vfl.ru/ii/1524078138/3bc84436/21425125_m.jpg[/IMG]
[IMG]http://images.vfl.ru/ii/1524078139/f6ac916d/21425126_m.jpg[/IMG]
Как это исправить?????

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 18 апреля 22:04:34 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Вовчик
Ребят, подскажите Ленинград-2 выдаёт ошибку озу 1й степени ошибка микросхемы 2й, 1й и тд
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 апреля 17:27:28 2018 | ссылка на пост    
Автор: Александр
Приделал к своему компу порт атрибутов #FF на микросхеме ИР23. В качестве схемы подключения я использовал ту, которая была в схеме компа Leningrad-2012. Тест 4.30 проходит без ошибок, но на экране немного мелькают полосы, когда он начинает мерять длительность INT. Как это исправить???
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 апреля 23:46:20 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблему с атрибутами решил, подключив ИР22 вместо ИР23, предварительно подав сигнал H2 на 11 ногу напрямую, без инвертора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 апреля 00:55:06 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
добавил в комп ещё парочку доработок - блокировка IORQ через M1(схема взята из теста IntTest в опсании к тесту) и формирование нового сигнала WAIT для контроллера дисковода NEMO-FDC в компе Leningrad-2012 (схема-http://zx-pk.ru/threads/17338-leningrad-2012.html?p=515053#post515052). TR-DOS стала более стабильно работать,без треугольников, произвольного набора команд и прочих артефактов, но ещё пока упрямо отказывается форматировать дискету с заклеенным окошком, выдавая мне очередное "No disk". Как это исправить?
Ещё нужно мне немного укоротить сигнал INT. Как это сделать, подскажите пожалуйста.
P.S. Тест-прошивка 128к от Хахонова (RATCOPY) всё-таки оказалась рабочая - нужно подавать питание только на 24 ногу, а 21 - либо оставить в воздухе, либо загнуть, чтобы входила в панель, как все остальные))

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 апреля 22:11:28 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
УРРРААААА!!! Дисковод наконец-то заработал! Я применил в нём вот эту доработку:http://xepb.org/robotron/gifs/drives/PICT1616.JPG, где надо 34 контакт разъёма резать от платы и перемычку HDR запаивать на плате. Кроме неё я соединил 10, 12 и 14 выводы на самом контроллере.
Ввожу RUN, пишет Error track 0, sector 9. Retry, abort, ignore? Нажал A, ввёл новую команду FORMAT"A:Gamezzz". Пошёл потихоньку форматировать. Я где-то читал на форуме zx-pk, что форматирование длится 10 минут, не меньше, так что остаётся только ждать)))

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 21 апреля 22:35:04 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Возвращаясь к вышесказанному... Ребят, подскажите, пожалуйста программу, которая может записывать дискеты для TR-DOS на PC, используя при этом внешний USB дисковод. Пытался использовать ZX Disk Studio - бесполезно(( Она не "видит" моего дисковода.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 25 апреля 00:06:48 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Mastdaj
Доброго время суток. Спектрум Львов. Работал, и вдруг пошли полосы вертикальные. Тстовая пзу проверяет и ошибок ненаходит. Подскажите куда сотреть.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 мая 10:28:03 2018 | ссылка на пост    
Сообщений: 1
Автор: Александр
Добрый вечер. Образовались новые проблемки - записал TRD файл на дискету с помощью ZX Disk Studio(лучше не спрашивайте, как я это слелал), вставляю дискету, ввожу RUN, дисковод работает несколько секунд, после чего выдаёт мне сообщение Error track 0 sector 9. Как это исправить?
P.S. Ещё порт атрибутов немного глючит, особенно когда включаешь комп, видны небольшие дёрганья пикселей на экране. Потом, где-то через минуту, всё проходит. Как это исправить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 3 мая 22:02:03 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Павел
Подскажите, пожалуйста - какие должны быть полоски на бордюре? У меня просто имеется три версии спектрума Ленинграда разных сборок - на всех трех бордюр разный при тесте.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 4 мая 23:27:37 2018 | ссылка на пост    
Сообщений: 1
Автор: Александр
Ещё одна проблемка - дисковод форматирует очень медленно - где-то за полтора часа. А в конце форматирования выдаёт всё то же сообщение Disc error track 0 sector 9. Что это может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 мая 19:16:20 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Немного разобрался - в самом дисководе задействованы выводы 12 и 16. Соответственно 12 - это выбор привода, а 16 - это запуск двигателя. При этом у меня 2 проблемы - перевёрнутый шлейф и оставшиеся 10 и 14 выводы не задействованы. Перерезал дорожки между микросхемой и 12 с 16 выводами. Кинул ту, что шла с 16 вывода, на 10 вывод, а ту, что шла с 12 - можно кинуть как на 14, так и на 16, причём, кинув на 14, нужно будет менять букву в TR-DOs, иначе выдаёт сообщение No disk. Но одна проблема всё же осталась - очень долго форматирует дискету, а в конце всё равно выдаёт ошибку с 9 сектором 0-й дорожки. Помогите мне это исправить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 мая 23:07:54 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Андрей E-mail: Alexflexo@ukr.net
Уважаемый Константин Айги, спасибо вам за ваши труды. Благодаря вам я решился и исправился перед своим бесцельно угробленным в детстве Спеком, в итоге благополучно сданым на КМки, купив нерабочий Ленин-1, оживив его, исправив все его недоработки, расширив память и добавив AY. Теперь вопрос по существу. Какой вы применили контроллер дисковода- самодельный или купленный? Мне бы инфу по этому моменту, и ссылки на схемы подключения а то сынишке не очень понравилось играть в mighty final fight с долгими дозагрузками со смартфона. Я думаю этот вопрос тревожит не только меня и другие спектрумисты будут ради с ней ознакомиться. Только просьба что-бы как у вас на страничке с доработками, что-бы не догадываться- я напортачил или схема неправильная. Когда я расширял память по вашему методу у меня светился белый квадрат на сером фоне. Но я наверняка знал, что у вас всё верно,по-этому за 5 мин нашёл не тот лог элемент в сигнале cas, ну потом уже пошёл тест и сообщил о неисправности озу в бутере по D7. Так что хотелось бы получить и инфу подобного характера, но по контроллеру дисковода. С уважением, Андрей.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 23 мая 20:19:27 2018 | ссылка на пост    
Автор: zamich
Добрый день Всем и вам Константин! Вот собрал себе Ленинград 48к работает нормально. Можно ли к нему подключить контроллер дисковода без доработок увеличения памяти и ещё, если сделать доработку увеличения памяти а прошивку оставить BASIC 48 (пока нет ПЗУ 128к) будет ли это все работать? Заранее всем спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 24 мая 16:51:37 2018 | ссылка на пост    
Сообщений: 8
Автор: snd
Схема «читалки» с магнитофона на К554СА3

Слышал, что схема эта вроде как стабильно все грузит, и чуть ли не во все клоны ее рекомендовали пихать.
НО, вот вопрос - а заработает ли данная схема с клонами на Т34ВГ1 (1515ХМ1-216, ULA-216) ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 июня 15:55:21 2018 | ссылка на пост    
Автор: iegova
Читалка на К554СА3 у меня не завелась, хотя несколько микросхем попробовал. А вот по схеме от пентагон-48 на К561ЛН2 завелся с первого раза. Гружусь только с мобильника, полет нормальный
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 июня 20:13:42 2018 | ссылка на пост    
Сообщений: 13
Автор: snd
на ЛН2 - это фактически "стандарт", во многих схемах используется, в т.ч. и в схемах компов на УЛА216.
Но вот на СА3 помоему использовалась только в Балтике. Собственно и интересует:
1. какие плюсы у схемы на са3 (слышал, что они есть по сравнению со схемами на ЛН2)
2. заведется ли на клоне с т34вг1 (ула-216)
3. о том что не завелась на Ленине1, спасибо за инфу, кстати)

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 июня 22:12:48 2018 | ссылка на пост    
Автор: Александр
Эх, господа, что ЛН2, что СА3... Каждый использует то, что ему по душе. На ЛН2 проще собрать, но у неё больше недостатков, чем у СА3. Сам же я скоро куплю себе эмулятор дисковода и мне ни ЛН2, ни СА3 будет не нужна ;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 июля 16:27:02 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Кстати, я решил проблему с помехами - у меня просто проседало напряжение на плате. Я подпаял провод +5В ближе к центру платы, а провода с массой раскидал по краям, чтобы напруга от центра к краю растекалась. И никаких блокировочных кондёров не надо ставить;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 июля 21:43:46 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблема с дисководами почти решена. Мне удалось на компе записать несколько программ и запустить их на Спектруме. Но подводные камни всё же остались(( И один из них - повреждение 9 сектора в нулевой дорожке при многократных попытках запустить программу. Некоторые программы запускаются с первого раза, некоторые выдают ошибку с этим сектором. Коммандеры вообще нужно запускать нестандартно - вставил дискету с записанным Real Commander, к примеру, вводишь в TR-DOS "RUN", а он вместо того, чтобы открыть коммандер, просто обратно выводит тебе курсор, и всё. И приходится вводить "CAT", смотреть файлы, помеченные буквой "<B>" и запускать их. Сам файл "BOOT", как ни странно, помечен буквой "<C>", и при попытке его открыть командами "RUN" и ""GOTO"CODE" на экране появляется всякая ерундистика. Что это может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 27 июля 14:02:24 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Михаил E-mail: www129@mail.ru
Константил и другие участники, всем вам спасибо за Ваше существование!!! Мне это напомнило мои похождеия с Микрошей и Кворуом !!
А вы мастера можете делать другие схематехнические решения для других задачь в принципе, к Вам или к кому то из Вас? Когда будут новые видео на Ютубе?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 29 июля 15:45:54 2018 | ссылка на пост    
Автор: Alex E-mail: alex_ym@mail.ru
Я просто ошарашен.... ностальгия нахлынула... Я этим занимался почти 30 лет назад. загружать 2мГц 8 разрядный комп типа Спектрум с гигарцового 64 битного восьмиядерного мобильника...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 31 июля 11:01:55 2018 | ссылка на пост    
Автор: Александр
Ещё немного думаю о том, как реализовать порт Кемпстона в "Ленинграде" и собрать свой джойстик. Ведь контакты должны быть замкнуты с массой и подключаться на размыкание, а размыкающих кнопок у меня нет, только замыкающие. Хотя, есть идея подключить их к массе через резисторы по 680 Ом каждый. Они установят на входах лог.0, что для всех входов с лог.0 соответствует подключённому джойстику, а стандартные замыкающие кнопки подключить между этими входами и +5В, чтобы из лог.0 делать лог.1, при этом не замыкая +5В и массу. Конечно, это всё только в теории... На практике думаю применить микросхемы ЛП8 - они способны выдавать состояние Z, т.е. отключаться, что будет прекрасно имитировать размыкание кнопки, ведь неизвестно, на что программы реагируют при нажатии кнопок на Кемпстон-джойстике - на переход в состояние Z или на установку лог.1?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 августа 15:20:07 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Мой первый музыкальный трек, записанный при помощи Pro Tracker 3.72 - ZX Forever! Записывая его, я немного вдохновился музыкой Dubmood'a и одной темой из мегадемо The LYRAII:
1.https://yadi.sk/d/5DKc41Hj3a8xjn
2.https://yadi.sk/d/TYJAXJMW3a8zWe
В первой ссылке ZIP-архив с файлом-образом TR-DOS диска, где данная песня сохранена в 4-х специфичных файлах, открыть которые можно, только лишь загрузив Pro Tracker 3.72(П.С. Файл "P", т.е. "Pattern" можете не загружать, правильные паттерны записаны в файле "M"). Во второй ссылке - ZIP архив с записью через линейный вход ПК в форматах WAV и MP3. Короче, я потратил на это всё где-то около трёх недель, но так и не понял, ГДЕ В PRO TRACKER ПЕРЕКЛЮЧАЕТСЯ ГЕНЕРАЦИЯ С СИНУСОЙДЫ НА ПИЛООБРАЗНУЮ?????? Если кто имел с этой программой дело, пожалуйста, ответьте мне. Также моя благодарность Константину Айги за различные советы по доработке моего ZX. Благодаря его помощи я наконец-то стал полноценным членом общества спектрумистов;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 августа 22:06:28 2018 | ссылка на пост    
Александр
Сообщений: 121
Автор: Peter E-mail: peteclln@gmail.com
Извините, я не говорю ни слова о Русане, поэтому я надеюсь, что перевод Google соответствует задаче. Привет, я построил leningrad 48, спасибо в немалой части вашему видео и заметкам. Теперь я купил все детали и получил всю информацию для обновления 128k. Тем не менее, попробуйте, чтобы я не мог найти какую-либо информацию о контроллере диска или музыкальном сопроцессоре. Не могли бы вы указать мне в правильном направлении? Заранее спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 августа 10:46:17 2018 | ссылка на пост    
Автор: iegova
Я пользовался вот этой схемой расширения https://hsto.org/webt/pb/l6/ca/pbl6cabavkknlwvouz7nth8gclk.jpeg
В ней и подключение звукового чипа. Только мой вам совет используйте все таки микросхемы 1533\ALS серии, по крайней мере для D14,D34 и на схеме расширения DD7` это критично.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 20 августа 18:55:04 2018 | ссылка на пост    
Сообщений: 13
Автор: Харусима
Константин, помогите пожалуйста советом, как диагностировать плату Л1

На данный момент я безуспешно пытаюсь получить со Спектрума картинку. Теплого лампового ЭЛТ у меня нет, поэтому для вывода изображения c RGB на VGA я использую внешний тюнер AVerMedia AVerTV Box9 и PAL Coder.

У меня не получилось получить сигнал синхры с диода D4, плата вроде стартует. Периодически начинает пищать спикером, как если бы нажимались клавиши.

Подробные фото платы и лог действий у меня в блоге: http://hermitlair.ucoz.com/blog/2018-10-07-1042

Прямая ссылка на фото платы:
http://hermitlair.ucoz.com/_bl/10/01721252.jpg

Очень на Вас надеюсь...

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 7 октября 16:38:17 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, у «Ленинграда» без доработок, видеосигнал нестандартный. Нужно приводить его к стандарту иначе никак не выйдет получить картинку ни через PAL-кодер, ни через SCART-разъем.

Эта тема подробно раскрыта тут:
http://zxbyte.ru/leningrad_video_out.htm

Я как-то собирал такой вариант доработки:
http://zxbyte.ru/leningrad_video_out.htm#2

Все заработало с дополнительным конденсатором.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 8 октября 03:35:12 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Константин, спасибо. Придется пока покупать ЭЛТ. Вот бы вы сделали видео про такую переделку, чтобы было видно какой элемент куда паять. Я в схемах не умею разбираться, мне без подсказки такую переделку не осуществить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 октября 00:44:00 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Вообще, я планирую сделать сюжет о подключении старых ПК к современным мониторам, ТВ и т.п. Эта тема действительно требует отдельного подробного разбора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 октября 08:36:36 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Спасибо! будет интересно!

А я вчера купил ЭЛТ, но он тоже нуждается в помощи)

Качество картинки оставляет желать лучшего, но больше меня напрягает низкочастотный шум кинескопа, пульсирование и мигание картинки, и пробегающие по ней всполохи. Вдобавок картинка бледная, словно поддёрнутая дымкой. Глаза ну очень быстро начинают посылать друг-друга нахутор.

Подробный обзор: Монитор Электроника 32 ВТЦ 202
http://hermitlair.ucoz.com/blog/2018-10-09-1043

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 10 октября 03:15:15 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, едва ли найдётся сегодня подобный монитор с нормальной трубой. Собственно бледная/мутная картинка обычно и есть свидетельство севшей трубы. Лечится заменой кинескопа. Это очень "веселая" процедура, особенно возня с отклоняющими катушками )
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 10 октября 13:31:52 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Монитор стал показывать почти идеально для ЭЛТ!
http://hermitlair.ucoz.com/_bl/10/35372579.jpg

Было короткое замыкание синего на синхронизацию, поэтому качество было плохое. Теперь каждый пиксель отчётливо виден. Остаётся только звон из монитора, его бы убрать, и был бы идеал.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 октября 00:03:12 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, шум обычно издают катушки индуктивности на плате развёрток.



Насколько я помню, самая шумная та, что над строчным трансформатором. Обычно в подобных случаях дроссели аккуратно заливают парафином или эпоксидной смолой.

Иногда ещё шумит блок питания (самая нижняя плата, горизонтально ориентированная), но, как правило, тише, чем дроссели в блоке разверток.

В редких случаях шуметь могут и другие детали. Например, в одном ATX блоке питания я долго не мог найти источник шума – оказалось "пел" небольшой плоский керамический конденсатор. Проблема решилась его заменой )

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 октября 07:29:10 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Константин, если не затруднит, Вы не могли бы взглянуть на фото плат в моей записи и простите, ткнуть пальцем в возможных виновников оркестра?

Какие-то катушки с проводами шумят. Вот те на. Физически это из-за чего?

А что такое строчный трансформатор и как его узнать?

Да, если постучать по бокам монитора, особо шумный звон прекращается на какое-то время. Я боюсь, что это свидетельствует о плохом контакте, или фиксации какой-либо детали.

В парафин залить - а не растает? Деталь поди греется и токи немалые?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 октября 16:50:37 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, шум идёт от физической вибрации катушек индуктивности, находящихся под влиянием импульсов высокой частоты. И действительно, если стукнуть по корпусу монитора, писк может менять частоту или даже вовсе прекращаться на время. Это нормальное явление при такого рода проблемах.

Эти дроссели не особо сильно греются, насколько я помню. И точно помню, что с успехом пропитывал их небольшим количеством бытового смазочного масла, но все-таки так делать не рекомендуется, поскольку растекшееся масло может немало проблем тут создать...

На фото, кстати, видно, что катушки (обведены красным) чем-то уже были пропитаны, просто пропитка видимо подсохла со временем:



А так, народ чем только не пропитывает индуктивности:
https://radiokot.ru/forum/viewtopic.php?f=11&t=26207
https://forum.ixbt.com/topic.cgi?id=10:53341

P.S. Да, и надо помнить, что после выключения монитора, конденсаторы на плате разверток и в блоке питания ещё некоторое время сохраняют заряд, поэтому даже при отключенном питании не стоит касаться руками деталей и оголенных контактов...

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 октября 19:46:06 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Константин, большое спасибо! Читаю статьи.

Очень любопытно. даже не знаю, какой вариант выбрать. Может действительно выпаять и искупать в ваанночке с парафином? А нельзя просто строительной пеной звукоизолировать? Или залить к чертям клеевым пистолетом? Кстати эта деталь ещё выпускается? может просто заменить на такие же новые?

Знаю про нельзя сразу трогать руками, а если поразряжать лампочкой, то годится? или какой срок ожидания после выключения перед разборкой? Я обычно разберу, и пойду руки помыть, чай поставить, неск минут и проходит.

А если по экрану постучать пальцем, то изображение словно пульсирует, сжимаясь и разжимаясь как желеобразный пудинг. Это из-за них? Или почему так происходит?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 октября 23:31:49 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
По-моему вариант со строительной пеной грубоват ) Про вариант с клеевым пистолетом тоже читал, но такого не пробовал. Не уверен, что тут это подойдет, ведь корпуса у некоторых катушек пластмассовые – могут деформироваться... Также есть сомнения, что замена дросселей на аналогичные новые тут поможет. Пожалуй, вариант с парафином самый простой и доступный.

Я обычно вскрывал подобную технику не ранее чем через пол часа после выключения, затем смотрел мультиметром не остался ли где заряд на конденсаторах, ну и да, можно принудительно разрядить конденсаторы в случае чего.

А пульсация изображения при постукивании – это уже следствие нарушения контакта где-то в разъемах, подстроечных резисторах и т.п.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 12 октября 10:49:12 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Игорь
Константин, здравствуйте. Когда уже каналом займётесь в ютубе? ) Народ просит. )
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 16 октября 08:58:41 2018 | ссылка на пост    
Автор: Сергей E-mail: ipmaster@inbox.ru
Добрый день, подскажите пожалуйста, ТЕСТ-ПЗУ должны нормально запускаться и отрабатывать, если они включаются в вариант Синклера (Зонова 48К) с поддержкой TR-DOS?

То есть тестовая ПЗУ 2716 (РФ2) вставляется в кровать 2764 (при этом сама плата TR-DOS отключена совсем), работать будет? Небольшое уточнение (у РФ2 нога 21 отключена от кроватки и подключена на +5v).

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 ноября 00:25:40 2018 | ссылка на пост    
Автор: Владислав E-mail: codename.cpp@gmail.com
Здравствуйте, подскажите пожалуйста такую вещь.
Хочу собрать ретро-компьютер типа Ленинград 48К, но с тем отличием, что вместо большого количества мелких микросхем памяти поставить несколько (или даже одну, если это возможно) SRAM.
Еще такой момент, на схеме вижу что выход видеосигнала - RGB, а без PAL-кодера никак не обойтись? Хочется получить композит, возможно уже есть стандартные решения?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 ноября 22:16:02 2018 | ссылка на пост    
Автор: Харусима E-mail: regforblank@gmail.com
Константин, а обмотку на самом кинескопе можно этим лаком залить? Или она не шумит?
Удивляюсь, как эти катушки могут так шуметь? Только ли они шумят? Сейчас 3 слоя уже покрыл. По часу между ними. Лак электроизоляционный акриловый Plastik-71.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 13 ноября 20:25:21 2018 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Игорь, делаем потихоньку )

Сергей, тестовая ПЗУ должна работать и без TR-DOS. Про TR-DOS она даже ничего не "знает" ) И действительно, при использовании 2К ПЗУ, нужно 21 ногу соединять с 24-й, предварительно отогнув их внутрь или наружу, затем этот контакт при помощи проводка выводится на +5В (28 вывод панельки на плате):





Владислав, пока без PAL-кодера или конвертора а-ля GBS8200 никак не обойтись. Правда есть современные клоны, где уже встроено подобное:
http://zxkit.ru/catalog1/konstruktory/retro-kompyutery/speccy/

А про SRAM для «Ленинграда» читайте тут:
https://zx-pk.ru/threads/11117-sram-dlya-leningrad-1.html

Харусима, обмотка на кинескопе - это петля размагничивания. Она активна в течение короткого времени в момент подачи питания, соотв. источником постоянного шума не может являться. Совсем бесшумной «Электронику» едва ли можно сделать, можно лишь уменьшить уровень шумов до относительно приемлемого уровня )

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 14 ноября 04:42:24 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Константин, спасибо. Теперь я знаю, зачем обмотка на кинескопе)
4х слоёв лака хватило. Звон почти полностью пропал.Слышно только ухом к голой плате. Посмотрим, что будет через недели использования.
Касаемо дрожания изображения - без корпуса не дрожит. В корпусе дрожит. Что где может задевать не знаю, если только вы наводку дадите. Но одеть корпус на электронику втц это та ещё морока, не то рама кривая, не то допуски велики - три подстроечных винта цветов сзади находятся не в центре отверстий, а сбоку, что как бы намекает.
Решил пока пренебречь этим. Всё же если не трогать, и кулаком по столу не бить, то не дрожит.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 14 ноября 15:18:23 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Харусима
UPD: я ошибся, при снятом корпусе картинка также вибрирует, просто чуть меньше. Постукивал резиновой рукояткой отвертки по плате с RGB выходом - картинка дрожит, по плате на кинескопе - почти не дрожит, по плате с катушками - сильно дрожит. Иногда пока ВТЦ не прогреется, картинка некоторое время "плавает" - ассиметричные геометрические искажения отдельных областей экрана. Интересна природа этих искажений.

И нельзя ли снизить мерцание, увеличить частоту до 60Гц или это в принципе невозможно для данной модели?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 14 ноября 18:20:18 2018 | ссылка на пост    
Харусима
Сообщений: 12
Автор: wexyobit
Разрешите глупый вопрос. А возможно найти версию прошивки ПЗУ с TR-DOS для 48к памяти ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 16 ноября 18:34:16 2018 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, при закрытой крышке, температура внутри корпуса повышается. Если при этом появляются искажения изображения, то можно предположить, что где-то на плате есть потекшие электролиты (конденсаторы). Даже в современной технике от перегрева электролиты текут, а тут даже никакого эффективного охлаждения нет )

Ну, а мерцание тут никак не снизить...

wexyobit, любая версия TR-DOS подойдёт как для 48К, так и для 128К памяти.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 17 ноября 09:29:39 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: wex
в целом меня интересует вопрос:
1) какой можно собрать контроллер HDD для 48к версии ? (например NemoIDE)
2) как его подключить ?
3) где найти нужную прошивку с tr-dos на борту?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 ноября 20:52:18 2018 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
wex, NEMO IDE вещь в сборке несложная:
http://sblive.narod.ru/ZX-Spectrum/NemoIDEforLUT/NemoIDEforLUT.htm
http://www.nedopc.com/NEMOIDE/zaknemoide.php

Рекомендую изучить эту тему по вопросам подключения/использования NEMO IDE:
https://zx-pk.ru/threads/800-nemo-ide-pentagon-48-128.html

Ссылки на основные прошивки есть выше, в статье.

А вообще, прошивок для спектрум-совместимых компьютеров великое множество (большинство можно найти в сети):
https://faqwiki.zxnet.co.uk/wiki/ROM_images
http://www.shadowmagic.org.uk/spectrum/roms.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 21 ноября 10:20:19 2018 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Алексей E-mail: c_a_v@mail.ru
Сделал доработку для установки ПЗУ 27C512 по рекомендации:

to Алексей.
на DD29 отрезайте А13 от 20выв.
20выв соединяйте с общим проводом.
отрезайте 26выв. от +5в.
Соединяйте А13 к 26выв.
Записывайте sos48 в последнюю четверть 27512 или используйте прошивку автора 27512.bin

Прошивка 27512.bin запускается, но на экране артефакты. Прошивка sos48.bin в последнюю четверть (с адреса 0xC000) не запускается, на экране матрас.
Я использую программатор TL866, он при прошивке образов, меньших по размеру, чем ПЗУ, не может сделать верификацию.
Как правильно записать образ тестового ПЗУ, чтобы память протестировать ?
Тестовое ПЗУ при прошивке с 0xC000 тоже даёт матрас. Его туда же прошивать надо ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 24 ноября 16:55:32 2018 | ссылка на пост    
Автор: Алексей E-mail: c_a_v@mail.ru
С установкой ПЗУ разобрался, запустил тестовую прошивку. Она пишет, что неисправен буфер чтения данных. Это микросхемы памяти проверять или какой то отдельный чип ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 декабря 21:45:40 2018 | ссылка на пост    
Автор: Алексей E-mail: c_a_v@mail.ru
Заменил все микросхемы памяти, теперь тест буфера данных проходит, но пишет, что ошибка записи по адресу 4000, записано 01101101, а считано 10110110.
Не пойму, куда смотреть ?
Это все таки микросхемы памяти виноваты или ошибка сборки ?
Проверял напряжение на панелях памяти без микросхем, на 14-м выводе есть почти 3 вольта, хотя вроде пишут, что должно быть 1-2, это ненормально ? Может на что то повлиять ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 декабря 11:18:30 2018 | ссылка на пост    
Автор: 156
Добрый день. Спасибо за предновогоднее видео.
Больше полгода не трогал свои Спектрумы. За это время пришли ZXkit1 (видеоконвертор RGB - VGA,крачный), BDI v2.0 (на MB8877A), потом с Китая заказывал USB-Blster для прошивки. На новогоднмх каникулах спаял и прошил. Не бес косяков, но я их исправил. ZXkit1 замечательно работает с Leningrad-2012 (совпадение!?)), а BDI v2.0 с Harlequin 48k rev g (если окно плотности на дискете заклеить).Но вот что бы наоборот... вот с этим проблемы.
Ладно BDI v2.0 к Leningrad-2012 подцепить, для этого почитаю документы, по контактам разберусь, может какие то доделки надо будет сделать.
Но с ZXkit1 к Harlequin другая история. Я его подключил, картинка вроде есть и цветная, но рабочая область сдвинута сильно вверх и слегка влево и после перехода в TR-DOS просто монитор перестает определять экран. Даже не знаю, что с этим делать, может кто подскажет?
прошивка ZXkit1 -1.0.2
Контакты на Harlequin: I - U32-9, B - U32-7, - G - U31-9, F14mHz- U13-4, SSI-U27-5, KSI - U27-4.
И самый главный вопрос. Как зарегистрироваться на zx-pk.ru? Или маил.ру сразу удаляет письма, либо я что то делаю не так. В спаме смотрел.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 6 января 10:37:09 2019 | ссылка на пост    
Автор: Юрий E-mail: gerin86@mail.ru
Здравствуйте! Подскажите пожалуйста, не могу разобраться с доработками. Нормализация строчной развертки - 12 ножка микросхемы D4, ее надо от платы отпаять и посадить через конденсатор на землю? Или конденсатор надо ставить не выпаивая ножку? как-бы в общую цепь? Тоже самое с микросхемой D6 ножкой 11? Спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 10 января 15:42:25 2019 | ссылка на пост    
Автор: zamich
Здравствуйте все поклонники zx spectrum и Константин! С вашей помощью собрал свой Ленинград 48, доработал до 128кб и подключил валявшийся у меня контроллер дисковода (вроде от Байта). Всё работало, дискеты читались, игры игрались. Недавно включив ZX вместо меню вылезла хрень неисправного спектрума. В процессе поиска неисправности обнаружил что вынув из платы контроллера КР1818ВГ93 всё работает нормально и ZX входит в TR-DOS если надо. Отогнув контакты D0-D7 (7-14) 1818вг93 и вставив её в панельку спектрум запускается. Подскажите пожалуйста это вг93 сдохла или что-то в плате контроллера дисковода. Заранее спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 11 января 21:50:01 2019 | ссылка на пост    
Автор: Дмитрий E-mail: 79787056545@yandex.ru
Приветствую ! Подскажи, если не трудно, где можно заказать саму плату на ленин, заранее благодарен
Видео просто супер !!!

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 января 11:37:09 2019 | ссылка на пост    
Автор: 156
Тут поискать - zx-pk.com
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 января 14:00:18 2019 | ссылка на пост    
Автор: Vladimir
Можете побить меня за оффтоп, но ценник - просто шедевр! Прямо как будто окунулся в атмосферу Тушинского радиорынка! Да и видывал ее в те годы, поди, не раз. что то уж знакомо очень! Все субботы и воскресенья, и в жару и в 30 градусный мороз там проводили. Автору - респект!!!
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 5 февраля 20:18:28 2019 | ссылка на пост    
Автор: iegova
Если кому интересно, собрал BDI для своего ленинграда. Так же на МГТФ. Часть схемы от пентагон-128, часть от С-48. Но и тут схему можно было немного упростить.

https://pp.userapi.com/c845418/v845418851/1a734b/2khar-Gx3ws.jpg

работают как и 3,5" таки и 5,25" дисководы

https://pp.userapi.com/c849124/v849124777/128dd6/lnl_1iC9RRs.jpg

https://pp.userapi.com/c846524/v846524538/19e2e3/bWWMb9m8M74.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 февраля 12:43:33 2019 | ссылка на пост    
Сообщений: 13
Автор: Юрий E-mail: yura3816@gmail.com
https://youtu.be/GEhaOatWIpA Кому нужны платы пишите на почту, только Украина. Спасибо Константину Айги, вдохновил
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 февраля 11:06:01 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
https://youtu.be/LTA5eb1sR0s Кому нужны платы пишите на почту, только Украина. Спасибо Константину Айги, вдохновил
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 февраля 19:09:31 2019 | ссылка на пост    
Автор: Toboe
Не подскажите в чём может быть проблема ленинград выдаёт такую картинку. https://ibb.co/KhFMJWR Напрямую без кодера тоже самое только в ч/б. Скорректировал длину строчного синхроимпульса, сделал привязку к уровню чёрного. Игры грузит читалка по схеме с к561лн2. Но в самих играх помимо звука из бипера слышен постоянно писк. Игры качал тут.
https://zx-pk.ru/threads/19193-igry-s-turbo-zagruzkoj-dlya-quot-leningrad-2012-quot.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 марта 20:21:21 2019 | ссылка на пост    
Сообщений: 13
Автор: vanhelsink633
Привет Константин. Такой вот вопрос. Достался клон спектрума Delta C скорее всего 48К, т.к. РУ5 стоят с индексом Д2. А РУ6 с двумя точками. Я так понял это не полные микросхемы памяти, а половинки. Но это не столь важно. Мне интересно в неё можно поставить РФ4 или 2764 от ленинграда с его прошивкой. Есть заведомо рабочие.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 11 марта 06:47:58 2019 | ссылка на пост    
Сообщений: 12
Автор: vanhelsink633
Доработки кое-какие сделал по схеме ленинграда. Фото скину по позже.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 11 марта 06:49:25 2019 | ссылка на пост    
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
vanhelsink633, очевидно это классическая «Дельта С» 48k с раздельным полем памяти и со встроенной русификацией. Стандартная прошивка от «Ленинграда» подойдёт, однако русификация перестанет работать. Но есть и плюс: совместимость с оригинальным Спектрумом повысится )

zamich, скорее всего, ВГ93 вышла из строя, уж больно капризная эта микросхема...

Toboe, рекомендую исследовать плату на предмет замыканий между дорожками.

Юрий, конденсаторы в цепи D4-12, D6-11 ставятся параллельно, тут подробнее:


Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 11 марта 23:47:26 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: vanhelsink633
Спасибо Константин. Буду дальше мучить дельту, хочу её все таки запустить с родными ПЗУ ленинграда. Единственное что у меня вызвало вопрос я её на работе запускал с процессором (поставил не достающие блокировочные кондеры, похоже вырезали КМки, под рукой не оказалось на 0,1 мкф поставил 220n, думаю не критично) показала чистый матрац, потом дома решил попробовать запустить тоже чистый матрац. Потом отложил её на некоторое время лежала в ящике, т.к. занимался ленинградом на работе папа плату отдал полностью рабочего компа без доработок, доработки я сделал. Собрал программатор для него чтобы прошить РФ6 для дельты, нашел в инете оригинальную её прошивку... На днях решил запустить её с ПЗУ ленинграда. Подключил её без ПЗУ к телеку через видео вход, матраца нет вертикальные полосы черные и почему-то просматриваются красные полоски и квадраты, хотя R G B не подключено. Смысл в том что нет чистого матраца. На плате греются 2 РУ5 я так думаю они мне и портят матрац. Но почему они вышли из строя вот в чем вопрос, плата долго не включалась лежала себе спокойно в коробочке. Из-за чего они сдохли не знаю!!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 марта 03:46:41 2019 | ссылка на пост    
Сообщений: 12
Автор: vanhelsink633
to zamich::: Скорее всего меняй ВГ-ку!!! У меня такое было!!! Тоже была такая ситуация! Она мешала выходу в ТР Дос просто напросто сбрасывала ОС ТР ДОС в бейсик 48К
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 марта 11:54:12 2019 | ссылка на пост    
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
vanhelsink633, РУ5 боятся статики и иногда действительно выходят из строя без видимых на то причин.

Прежде чем микросхемы памяти менять, рекомендуется прогнать тест ПЗУ:
http://www.cxemateka.ru/v1/test48k.bin (вариант, без заполнения экрана)

http://www.cxemateka.ru/v1/test48k_org.bin (тест с заполнением экрана)

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 15 марта 12:24:24 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: vanhelsink633
Привет. Нет у меня тестовой микросхемы чтобы ОЗУ прогнать. Нужно прошивать микросхемы. У меня есть РФ5 чистые.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 16 марта 13:22:29 2019 | ссылка на пост    
Сообщений: 12
Автор: vanhelsink633
Привет Константин. Я на вашу страничку в ВК отправил фотографии.
Потом отправлю работу этих плат.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 марта 19:11:07 2019 | ссылка на пост    
Сообщений: 12
Автор: Владимир E-mail: ua3eey@yandex.ru
Здравствуйте Константин.
На своём Спектруме не по ключу вставил ПЗУ № 0
и она сгорела, подскажите где можно приобрести прошитые ПЗУ версия Ленинград-1 48К две штуки 2764.
Владимир.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 марта 17:58:40 2019 | ссылка на пост    
Автор: RaymanDX
А вместо ру5-7 можно использовать hyb511000bj ? Так как 256 не нашел....
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 28 марта 14:04:10 2019 | ссылка на пост    
Сообщений: 1
Автор: Роман E-mail: Kr1033eu1@inbox.ru
Доброго времени суток. Собрал ленинград 48, и получил [URL=https://fastpic.ru/view/110/2019/0405/_026057e93673408d893fd21ba9ec8f2c.jpeg.html][IMG]https://i110.fastpic.ru/thumb/2019/0405/2c/_026057e93673408d893fd21ba9ec8f2c.jpeg[/IMG][/URL]. У меня вопрос к знающим, может кто сталкивался, где посмотреть или где косяк. Тест ОЗУ без ошибок, система запускается, только надпись Sincler reshers ltd дрожит. За ранее благодарю.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 апреля 04:04:14 2019 | ссылка на пост    
Автор: Роман E-mail: Kr1033eu1@inbox.ru
Вот картинка из предидущего сообщения
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 апреля 04:07:23 2019 | ссылка на пост    
Автор: Александр
Приветствую! Давненько я сюда не заходил. В общем, у меня с моим Спеком сложилась масса проблем(( В частности, я не смог пока подключить к нему контроллер мышки. Но не в этом суть. На днях я решил расширить память до 256К, использовав ту же схему, которую использовал iegova, за исключением того, что переключение половинок памяти по 128К я сделал не через 6 бит порта 7FFD, а через 4 бит порта 1FFD, как в оригинальном Скорпионе, а также дешифрацию портов 1F и FE на чтение сделал по "старой" схеме - через смесь А0 и А5 через ЛИ1, вместо "новой" схемы с инвертированным через ЛН1 А1, потому что с новой схемой дешифрации контроллер дисковода не хочет запускаться, выдаёт белиберду. И всё, вроде бы заработало, тест V4.30 "видит" эти самые 256К, но некоторые демки, в частности демо Gemba boys - We are Alive, виснет после заставки, сбрасывая компьютер в "матрас" или в чёрный экран, в зависимости от ROM-прошивки. Помогите мне разобраться с этим, пожалуйста.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 30 апреля 12:12:14 2019 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман E-mail: kr1033eu1@inbox.ru
Приветствую всех! разобрался я с таким матрасом и с другими нюансами! все оказалось достаточно просто. так же благополучно расширил до 128К, развел плату под звуковой сопроцессор собрал его и благополучно подключил, так же развел плату и собрал контроллер дисковода, самым сложным было прошить РТ4 программатора нету по этому шил вручную, к стати схема которая по запросу в яндексе и гугле находится немного не правильная, а точнее неправильно разведены адреса микросхемы, сразу не обратил на это внимания и попался, пришлось прожечь еще одну микросхему но уже с правильными адресами, а в целом контроллер собрался с первого раза и без особых косяков. теперь встал вопрос, о том как на дискету записать программы или игры. так как компьютера IBM с дисководом нету, встала целая дилемма и отсюда вопрос есть ли какие-нибудь программы под спек чтобы переписать с ленты на дискету. в поисковиках поискал, но конкретно не нашел. может кто подскажет ресурс где такое можно взять и посмотреть как на этом работать??? за ранее благодарю!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 2 мая 01:37:47 2019 | ссылка на пост    
Автор: Александр
[b]Роман[/b], поищи на сайте Virtual TR-DOS.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 3 мая 12:26:19 2019 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман E-mail: kr1033eu1@inbox.ru
Александр, спасибо посмотрю! читал ты делал контроллер мыши, и в настоящий момент делаешь расширение до 256. хотел спросить контроллер мыши с этого сайта http://zxbyte.ru/at_keyboard_controller_for_zx.htm??? и контроллер собирал сам или заказывал??? и второй вопрос какой смысл расширения до 256???
за ранее спасибо!!!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 мая 01:12:38 2019 | ссылка на пост    
Автор: Александр
Роман, да, я делаю контроллер. Собирал сам. Руководствовался вот этими статьями: http://zxpress.ru/article.php?id=4708
http://zxbyte.ru/kempston_mouse_for_zx.htm Больше склоняюсь ко второй статье, потому что тоже мышь от ЕС-ки подключаю. По работе могу сказать следующее: стрелка нормально движется в нужных направлениях, но не по всему экрану, а лишь в малой его части. Это единственный косяк с мышью, который мне осталось исправить. А насчёт 256, ну, не знаю... Просто когда была 128, контроллер дисковода немного глючил, сейчас вроде бы всё в порядке.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 9 мая 16:45:20 2019 | ссылка на пост    
Александр
Сообщений: 121
Автор: Роман E-mail: Kr1033eu1@inbox.ru
Александр, спасибо за информацию, подумаю еще, начал собирать микросхемы для сборки, все нашел, даже микросхему mab 8031 ah, но пока не смог найти двух 555ир32, и смогу найти только через 2 месяца.вообщем пока приостановил. Есть еще мысль собрать контроллер мыши и часов реального времени на АТмеге, но пока думаю. А по поводу расширение с 128 до 256 если это одно отличие то для себя наверное не вижу смысла, контроллер дисковода работает стабильно и большая часть приложений и игр написана под 128. Во всяком случае я так думаю.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 мая 19:57:12 2019 | ссылка на пост    
Автор: Юрий
Добрый вечер.
Похоже у нас в шапке висит неправильная схема (вариант 2).
Вот тут
https://zx-pk.ru/threads/26007-remont-zx-spectrum-leningrad.html?p=994546&highlight=#post994546
товарищ утверждает что в схеме ошибка и приводит картинки, на которых видно что надо исправить.
Так же, в другом посте,
https://zx-pk.ru/threads/30331-proshu-pomoch-vosstanovit-leningrad-48.html?p=1007750&viewfull=1#post1007750
он же публикует исправленную схему.
Я сравнил оба варианта схемы из шапки, опубликованные Константином, и похоже что в первом варианте схема такая же, как в исправленном варианте на zx-pk.ru, а вот второй вариант полностью совпадает с тем, который товарищ с zx-pk.ru называет неправильным.
Константин, не могли бы Вы, как человек, обладающий огромными познаниями в области спектрумостроения, сказать нам, какая из схем правильная, и, при необходимости, заменить схему вариант 2 на правильную?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 мая 21:20:41 2019 | ссылка на пост    
Автор: Юрий
Поисследовал методом пристального взгляда чертеж платы http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/LeningradMONTColor.gif в интернете.
Действительно второй вариант платы имеет отличия от него. Похоже и правда вторая схема ошибочна. Эх, а я по ней в диптрейсе схему нарисовал, плату развел и у китайцев заказал. (((

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 8 июня 08:19:46 2019 | ссылка на пост    
Автор: Юрий
Подключил свой Ленинград к "RGB CGA EGA YUV К VGA HD видео конвертер Moudle HD9800 HD-Конвертер доска GBS8200 неэкранированная защита". Это та версия платы видеоконвера, на кторой написано v 5.0, в отличии от обычно используемой людьми GBS8200, на которой китацы пишут V 4.0. По внешнему виду отличий между платами нет, кроме надписи о версии. Однако изображение получить не удалось. Плата говорит чот нет сигнала. Искаженное изображение появляется на несколько секунд при переключении разрешения в меню платы, но примерно через 3-5 секунд оно пропадает.
Вот теперь сижу, гадаю, это происходит потому что эта версия платы несовместима с ленинградом и нужно именно GBS8200 V 4.0 или это мой ленинград не в порядке? Пробовал посмотреть осцилографом размах сигналов на выходах. На RGB выходах размах получается около 1.2 - 1.5 Вольт, а на выходе SYNC собственно ТТЛ уровни.
Подскажите у какого какой размах сигналов на RGB, V и SYNC?
Нужно ли что-то делать с сигналом с ленинграда при подключении его к GBS8200 или можно просто напрямую подключить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 июня 09:30:56 2019 | ссылка на пост    
Автор: Роман E-mail: graal20@rambler.ru
Константин, добрый день!
Сможете мне помочь вот с такой проблемой:
Пытаюсь подключить контроллер дисковода к Ленинграду-1 (48К). Сделал все вроде правильно, но в TRDOS даже не заходит, не пойму никак, в чем дело ??? контроллер прикупил на авито (отправили с Новосиба почтой), квадратный такой с собственным ПЗУ 27128, модель вроде HR41. На ленинграде стояли 2х2764. Убрал, поставил winbond 27c512 перезаписываемую, дос прошил в первые 16К, а в 16-32К прошивку ленинграда. А15 посадил на минус, А14 через резистор на плюс, и подключил к выводу контроллера Rom Enable. Все, как в описании! Вычитал в нете про изврат с выводом IORQ, который должен отключаться - тоже сделал. В контроллере ПЗУ естественно не ставлю. Запускаю - нихрена. Загружается в стандартную оболочку. Подумал, может ему собственное ПЗУ нужно. Порылся у себя, нашел ПЗУ 27128 от контроллера, и такое же для спектрума. Все закоммутировал - нихрена! Решил поисследовать этот сигнал Rom enable, подключал светодиод. Он работает следующим образом: при замкнутой перемычке СОС-ДОС лог 0 появляется на какую то долю секунды, и отключается (?!). При снятой перемычке молчит. Далее, если ввести комманду Rand usr 15616 - та же фигня, моргнет и комп тупо перезагрузился, либо на крайняк истошно орет. Попробова включить RE через инвертор, перепрошил ПЗУ - теперь СОС в младшем разряде, а ДОС в старшей (16К-32К). То же самое. Пробовал разные прошивки Доса и Соса - результат нулевой !!
Скажу сразу, первый наш комп, когда я был еще малым, именно Ленинград 1 с почти таким же контроллером! почему почти? на том контроллере был преобразователь 5-12 в для ВГ93, а здесь выведен вход 12 на разъеме. Ну и разъем под дисковод другой.
Мне этот контроллер (про который я рассказываю) достался без ПЗУ, без ВГ93 и разъема. И даже панелек не было - все впаивал, и плата заводская. Видимо, он даже ни разу не использовался. Микросхемы РТ4 сильно греются. Так и должно быть?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 июля 00:48:54 2019 | ссылка на пост    
Автор: Леонид
Константин, добрый день!
Спасибо огромное за видео и за статью! Как и многих здесь, оно и меня подтолкнуло к созданию своего спектрума. Помучался я с ним (и плата, заказанная у местного умельца была с частично неметаллизированными отверстиями, и моё мастерство пайки было буквально на зачаточном уровне), но всё-таки запустил. Действительно, собирать компьютер своими руками - очень интересное занятие (но не очень дешёвое :) ).

2Юрий: Я не уверен, но думаю, что проблема может быть связана с нестандартным видеосигналом Ленинграда. Необходимо проводить доработку видеовыхода, например, как описано здесь: http://zxbyte.ru/leningrad_video_out.htm. У меня данные доработки успешно работают, без них было изображение с искажениями даже на обычном телевизоре без всяких конвертеров.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 июля 11:35:34 2019 | ссылка на пост    
Автор: Vadim
2Роман
Доброго времени суток!
Что с питанием на РТ4 (16 вывод)? На выходах нет КЗ (9-12, можно и другие проверить на КЗ)?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 июля 13:50:58 2019 | ссылка на пост    
Сообщений: 51
Автор: Анатолий E-mail: grom60@rambler.ru
Здравствуйте. У меня плата Ленинграда (композит 93 года). Проблема такая - заместо мигания курсора мигает PAPER! Подскажите в чём причина и как её устранить. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 6 июля 15:48:00 2019 | ссылка на пост    
Автор: Artem
Скажите пожалуйста, какой контроллер флоппи дисковода вы использовали и какие подойдут?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 июля 11:18:32 2019 | ссылка на пост    
Автор: Jet E-mail: lomas7er@gmail.com
Здравствуйте! Спасибо за статью, повторил сборку на новой плате и проапгрейдил до 128к ОЗУ и 64к ПЗУ. Хочу обратить внимание, что в плане соединений не хватает eD1-1 -> D20-26, поэтому получил сначала пустой экран) Пришлось покопаться и все перепроверять по схеме. Полагаю, что выбирался не тот банк, судя по реакции звуком на клавиатуру -- ROM от 48к спектрума.

Вероятно, еще стоит дополнить монтажку, убрав всякое барахло от "родной" читалки ленинграда (А2, А3 и их обвяз). По незнанию напаял обвязки, которая в итоге не нужна была. Но это уже придирки. Кому это реально надо разберутся сами, а те кому не надо и не будут даже пытаться.

Большое спасибо, что поделились своим опытом, без вашей статьи бы я наверное не справился!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 14 августа 09:06:48 2019 | ссылка на пост    
Автор: Роман E-mail: kr1033eu1@inbox.ru
Доброго времени суток. подскажите кто знает что за сигнал IORQE, в настоящий момент собираю контроллер мыши, АТ клавиатуры и часов реального времени по схеме с форума: https://zx-pk.ru/threads/609-zx_multi_card.html, со всеми сигналами разобрался остался крайний ни как не могу найти внятной информации. За ранее благодарю за помощь!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 2 сентября 01:43:21 2019 | ссылка на пост    
Автор: Роман E-mail: kr1033eu1@inbox.ru
Artem, недавно собирал контроллер на 1818ВГ93 по схеме С-48, все заработало сразу, без плясок с бубном! самым трудоемким было прошить РТ4, прошивал 2 раза, так как в первый раз нашел не верную цоколевку и напутал с адресами, сделал сначала адаптор и все запустилось, потом прошил вторую так как должно быть. И в данный момент Красота! Проверяйте правильность цоколевки!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 2 сентября 01:50:07 2019 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
RaymanDX, hyb511000bj использовать в принципе можно, но нецелесообразно. Форм-фактор не тот. Да и регенерироваться эта микросхема должна в 512 циклов, т.е. это значит, что по всем задействованном в схеме Спектрума адресным входам должна осуществляется регенерация. Нужна будет дополнительная обвязка при апгрейде до 128к, такая же, как и для отечественных ру7:



Юрий, спасибо, схему №2 исправил. И добавил схему №3 )

Что касается подключения к монитору через плату GBS8200, возможно придется видеосигнал «Ленинграда» приводить к стандарту. Во-первых, частота строк должна быть близка к 15,625 КГц, об этом тут.

Во-вторых, неплохо бы ввести привязку к уровню черного. Как привязку ввести, подробно рассказано тут:
http://zxbyte.ru/leningrad_video_out.htm

Анатолий, если с сигналом flash проблемы, то нужно проверять соотв. цепочку D2, D11.1, D36 (D43 по схеме композита).

Artem, я использовал одну из старых плат контроллера дисковода, что у меня остались в коллекции. Кстати, у меня даже схемы на неё нет. Но поскольку все контроллеры дисковода для Спектрума по одному принципу построены, проблем с подключением не возникло. Так что любой контроллер подойдёт, какой удастся раздобыть )

Jet, спасибо добавил eD1-1 -> D20-26 (RESET) в план соединений. Хочу заметить, что в приведенном выше видео по сборке «Ленинграда», я как раз и не паяю родную «читалку» со всей её обвязкой )

Роман, IORQE – это сигнал блокировки основных портов ввода-вывода Спектрума. Когда сигнал IORQE в единице, основные порты ZX блокируются. Самый простой способ получить такой сигнал - поставить резистор на 560 Ом в разрез между 20 выводом Z80 (IORQ) и остальной схемой компьютера. Соотв. со стороны процессора у нас будет IORQ, а со стороны платы - IORQE.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 сентября 06:09:18 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Харусима
Константин снова здравствуйте!
Прошло немало времени, как я проклеил катушки своего видеемонитора Электроника 32 ВТЦ 202, и вот теперь снова проблема, он сломался.
Сначала время включения начало увеличиваться, пока не войдёт в рабочее напряжение, картинки нет, и светодиод индикаторный на морде едва теплится. И так было сначала минута, затем все четыре на разогрев. Затем полёт нормальный, пока не выключу. Но вот в один прекрасный день он перестал включаться.

Я думаю, что дело в БП. Там перегорел предохранитель, я его заменил, и он вспышкой перегорел снова.
Прошу Вашего совета, как искать неисправность. У меня есть только тестер. Какова вероятность, что надо менять все 8 конденсаторов. Они такие аллюминивые, не хочется портить аутентичный вид и заменять их на современные, если они живые. Готов купить ESR тестер для их проверки.

Фото платы БП прилагаю ниже:
https://sun9-51.userapi.com/c854120/v854120028/e8f24/btKvRFi-Htw.jpg
https://sun9-48.userapi.com/c854120/v854120028/e8f2e/5vB9eQofTeM.jpg
https://sun9-56.userapi.com/c854120/v854120028/e8f38/PwSx2DITHuE.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 8 сентября 02:06:15 2019 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, у «Электроники» импульсный блок питания. У них КПД высокий и, стало быть, высокие требования к качеству компонентов. Чаще всего да, высыхают электролиты. Проблема в том, что выход из строя одного компонента, часто ведет за собой выход из строя и ряд других, с ним связанных компонентов. Так что тут надо внимательно проверять всю схему, деталь за деталью.

Рекомендую изучить принцип работы таких блоков, например по книге «Импульсные блоки питания телевизоров и их ремонт» (Гедзберг).

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 8 сентября 13:32:49 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман E-mail: kr1033eu1@inbox.ru
Спасибо Константин!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 9 сентября 23:11:28 2019 | ссылка на пост    
Автор: Харусима
Константин, спасибо. Но превращаться в телемастера к большому сожалению времени не хватит. Заглянув в ту книгу, чувствую сразу пойму, что надо начинать с меньшего, и придётся прочесть ещё пяток, и эдак через полгодика забив на всё научиться ремонтировать ЭЛТ))))

Я по советам форумчан поменял с некотоырм успехом элементы на:

плата БП:
TDA4601 (вместо микросхемы КР1033ЕУ1 )
КТ872
КРЕН8Б
конды 350v220mF, 160v100mF, 40v470mF, 25v470mF(2), 25v220mF, 16v100mF(2)


Плата цоколя кинескопа:
конд-ры 160v 4.7mF - 3шт
конд-ры 16v 47mF - 9шт
резисторы 2w 1.5Kom 5% (CF-2) 3шт (Вместо МЛТ-2 1K5JBD)
ВО КТ969А 6шт

Сейчас пробовал включить, выгорел ещё один на плате цоколя кинескопа.
Вас не затруднило бы глянуть тему на радиокоте?

https://radiokot.ru/forum/viewtopic.php?f=32&t=165335

Я такими темпаки скоро половину элементов перепоменяю)

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 сентября 02:09:56 2019 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Харусима
Константин, мы кое-чего добились. Кинескоп засветился.

Поменял горелый резистор на цокольной плате. На всякий случай заменил конденсаторы в модуле видеоусилителей RGB:
6шт 40v47mF (to 50v47mF)
1шт 16v100mF
1шт 16v47mF

Снял напряжение с цоколя кинескопа. Полукруглый разъём с расположенными полукругом 8 контактами. Если
смотреть на надетую на цоколь плату, то минус беру с левого нижнего угла платы, нумерация контактов слева-направо 1-8: 3= 73.6v, 5=73.5v, 8=67v.


Теперь картина такая - монитор включается, но вместо картинки (что без подключения ZX, что с ним) приплюснутый белый фон, и лёгкий высокочастотный звон, которого раньше на постоянной основе не было ( я проклеивал все катушки на правой плате, но перед кончиной иногда звон появлялся)

Фото картинки с монитора есть в теме.
Не представляю, что это может быть, и почему нет ни картинки, ни цвета...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 сентября 01:14:28 2019 | ссылка на пост    
Харусима
Сообщений: 12
Автор: Михаил
Всем доброго времени суток! Собираю плату музыкального сопроцессора по статье описанной в ссылке,

https://zxpress.ru/article.php?id=11995

и возник вопрос, а как можно корректно подмешать звук с бипера на предлагаемый там микс для каналов. Звук с бипера точно должен быть сильнее по амплитуде, нежели с каналов АВС, кто сможет подсказать как лучше? Толком не нашел ответа в интеренете... Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 25 сентября 17:27:59 2019 | ссылка на пост    
Михаил
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Харусима, если растр есть, а картинки нет, то первое, что стоит сделать, это проверить сам RGB выход ZX. Надо убедиться, что сигнал со Спектрума идёт нормальный и только после этого продолжать битву за монитор ) Ремонт этих мониторов дело непростое, особенно когда нет под рукой исправных блоков под замену...

Михаил, вот соотв. фрагмент из схемы известного клона Scorpion (сигнал AUDIO = бипер):


Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 27 сентября 06:08:19 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Кирилл Лукьянов
Коллеги, всем доброго времени суток =). Некоторое время назад я решил последовать примеру Константина и собрать Leningrad 48k, заказав печатную плату по гербер файлам, с сайта sblive.narod.ru и необходимые компоненты. Собрал это все воедино и приступил к отладке. Опыта у меня в схемотехнике маловато, посему первым делом хотел задать пару вопросов на тему "в правильном ли я направлении двигаюсь" =).

На гуглодоках веду некоторые заметки о том что уже сделано и с чем пришлось побороться (https://docs.google.com/document/d/1qpZ1uW-L1_6tNiQVoyhyLvp4zAgAx_uJC0F-pQk67Z8/edit?usp=sharing). Так же присутсвуют мысли в слух, которые можно игнорировать ;).

Сейчас нахожусь на моменте подключения собранной спеки к телевизору. В моем распоряжении есть AKAI LEA-24K39P. Для подключения хочу использовать SCART. Провод спаял. Для приведения напряжений так же собрал делитель напряжений. По осциллограммам вроде бы что-то похожее на правду на SCART приходит.

На форумах читал, что у Leningrad 48k синхросигнал имеет слишком длинную LOW-фазу (у меня примерно 9 мкс), а нужно около 4.6 мкс. И нашел схемку с тем как можно выполнить доработку.

Так же встречался с информацией о том, что в некоторых телевизорах RGB-вход на SCART может быть не разведен, несмотря на то, что видеопроцессор телевизора имеет RGB входы, и что можно доработать и телик чтобы SCART стал полноценным.

Сейчас у меня есть два важных вопроса:
1) Есть ли способ проверить распаян ли RGB-вход на SCART'е телевизора (в пользовательской документации особо ничего не нашел)?
2) Обязательно ли выполнять доработку для нормализации длительности SYNC сигнала?

Ну и в общем: В правильном ли я направлении иду? =)

Заранее спасибо, всем откликнувшимся.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 1 октября 23:37:54 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Кирилл,

> Есть ли способ проверить распаян ли RGB-вход на SCART'е телевизора

Можно разобрать и посмотреть ) Но скорее всего там полный SCART, как и на большинстве современных ТВ.

> Обязательно ли выполнять доработку для нормализации длительности SYNC сигнала?

Да, без доработок видеовыхода тут не обойтись. Действительно, у «Ленинграда» синхросигнал вдвое длиннее нормы. В принципе, исправления этого сигнала может быть достаточно, чтобы подружить компьютер со скартом. Я как-то делал подобную доработку по этой схеме:



Источник: http://zxbyte.ru/leningrad_video_out.htm

P.S. Кстати, я эту схему немного упростил, использовав 1 корпус ЛА4 вместо ЛА1+ЛИ1. ЛА4 содержит три элемента И-НЕ, соотв. первый элемент И-НЕ можно использовать вместо ЛА1, а объединив выход второго элемента И-НЕ с третьим элементом И-НЕ с замкнутыми входами, мы получим в сумме элемент И (замену ЛИ1).

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 3 октября 09:53:47 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Vadim
Кирилл, на моём капризном SAMSUNG. Все эти доработки не дали результата. Картинка была, но с искажениями и периодически "прыгали" кадры. Полистав книгу Chris Smith - The ZX Spectrum ULA. How to design a microcomputer, пришёл к решению:


Картинка стабильна. Искажений нет. Дешифратор можно заменить на логику, но понадобится больше микросхем.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 3 октября 13:20:31 2019 | ссылка на пост    
Сообщений: 51
Автор: Кирилл Лукьянов
Константин, Вадим, спасибо. На следующей неделе приедут дополнительные микросхемы для доработок. Буду пробовать. Потом отпишусь о том, какой способ помог.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 октября 13:57:39 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Владимир
Здравствуйте, Константин. Подскажите,пожалуйста,почему мерцает экран, а курсор выводится без мерцания? Компьютер-"Ленинград-48к". Спасибо за Ваши видео и ответы на вопросы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 6 октября 21:05:12 2019 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, flash включается по седьмому биту байта из области атрибутов (вход 6 D31), вход 7 D31 должен быть на нуле. Диоды D2, D3 и резистор R4 формируют логический элемент И. Когда на выходе 12 D31 единица, flash активен (цвета PAPER и INK меняются местами в такт сигнала FLASH управляемым инвертором D11.A). Вот весь этот узел и нужно тщательно проверить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 октября 03:08:44 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Владимир
Константин, спасибо, буду проверять.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 11 октября 07:37:21 2019 | ссылка на пост    
Автор: Кирилл Лукьянов
Константин, здравствуйте. Пока ждал прихода микросхем выполнил доработку по варианту №1 (http://zxbyte.ru/leningrad_video_out.htm), для которого у меня все было. Увы, увидеть картинку на экране так и не удалось =].

После доработки синхроимпульс сократился в длине с 9 мкс до ~4.45 мкс, что близко к требуемым 4.6 мкс, но все же не равно. Я так же разобрал свой телик, и дорожки идущие от SCRAT'а куда-то на плату, там обнаружил, т.е. шансы на то, что SCART RGB умеет - увеличились.

Я наснимал некоторое количество осциллограмм в схеме с доработками. Не могли бы ли вы на них взглянуть, похожи ли они на правду, или что-то все же в схеме я накосячил =).

Картинки и описание текущего состояния в очередном гуглодокументе: https://docs.google.com/document/d/1Z52CRG3mma6fP_VbG3gwHf90yzvsDjrfQkJqR142aNg/edit?usp=sharing

Заранее спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 октября 03:27:20 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Vadim
Кирилл, доработки кадровой и строчной выполнены?



Если нет, то сделать доработки.

Если да, то можно "поиграть" кварцами с большей частотой до 15 МГц.


Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 октября 12:31:50 2019 | ссылка на пост    
Сообщений: 51
Автор: Константин Айги (CXEMATEKA.RU)
Кирилл,

> Синхроимпульс периодически, раз в 20 мс, примерно на 1 мс меняет полярность.
> Период в 20 мс говорит о кадровой развертке в 50 кадров в секунду, что правильно,
> однако правильно ли, что он меняет полярность, а не полностью гасится в 0?

Да, именно так это и должно тут выглядеть.

И в целом, судя по осциллограммам, все сигналы в пределах допустимого.

Для примера, снял показатели с некоторых своих плат.

1. Классический «Ленинград» с укороченным синхросигналом:
Синхросигнал: длительность – 4.583 мкс, частота – 16.180 кГц (далеко не норма)
Частота строк (SS): длительность – 9.125 мкс, частота – 16.190 кГц (тоже не норма)
Частота кадров (KS): длительность – 0.9885 мс, частота – 51.88 Гц

Несмотря на то, что не все сигналы тут в норме, этот «Ленинград» отлично дружит со скартом – стабильная, чёткая картинка.

2. «Композит» (плата, основанная на «Ленинграде», с заводскими доработками):
Синхросигнал: длительность – 2.25 мкс (слишком короткий), частота – 15.630 кГц
Частота строк (SS): длительность – 9.125 мкс, частота – 15.630 кГц
Частота кадров (KS): длительность – 1.024 мс, частота – 50.07 Гц

«Композит» тоже со скартом дружит. Правда картинка немного дергается. Похоже из-за некоторой нестабильности сигналов. Это можно вылечить при помощи конденсаторов )

3. Есть ещё классический «Ленинград» без доработок по синхросигналу:
Синхросигнал: длительность – 9.125 мкс (слишком длинный), частота – 15.610 кГц
Частота строк (SS): длительность – 9.125 мкс, частота – 15.610 кГц
Частота кадров (KS): длительность – 1.024 мс, частота – 50.02 Гц

Эта плата со скартом не дружит. Синхросигнал слишком длинный...

Ещё добавлю, что я подключаю эти компьютеры к скарту через усилитель, который короткими проводами припаян к разъему скарта. А от подключаемого компьютера к усилителю идёт уже длинный RGB+Sync шнур:



P.S. На 16-й вход скарта обязательно нужно +5В подавать, иначе телевизор не перейдёт в RGB-режим.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 октября 15:06:08 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Vadim
Кирилл, если под рукой есть Arduino, то можно проверить какие сигналы SCART-вход "переварит" с помощью следующей схемы:



и скетча:
/*
Vertical Bars Pattern PAL TV Signal Generator with Arduino

*/

#include
#include

///////////////////////////////////////////////////////////////////////////////////////
// Pins where the 2-bit DAC is connected

#define PINA0 6 // LSB, 1 kOhm resistor
#define PINA1 7 // MSB, 330 Ohm resistor

// PINA1 PINA0 OUTPUT
// 0 0 0.0V - Sync level
// 0 1 0.3V - Black level
// 1 0 0.6V - Gray level
// 1 1 1.0V - White level

#define LEVEL_SYNC PORTD &= ~(1 << PINA1); PORTD &= ~(1 << PINA0);
#define LEVEL_BLACK PORTD &= ~(1 << PINA1); PORTD |= 1 << PINA0;
#define LEVEL_GRAY PORTD |= 1 << PINA1; PORTD &= ~(1 << PINA0);
#define LEVEL_WHITE PORTD |= 1 << PINA1; PORTD |= 1 << PINA0;
///////////////////////////////////////////////////////////////////////////////////////

///////////////////////////////////////////////////////////////////////////////////////
inline void vsync_pulse()
{
LEVEL_SYNC;
_delay_us(30);
LEVEL_BLACK;
_delay_us(2);
}

///////////////////////////////////////////////////////////////////////////////////////
inline void equal_pulse()
{
LEVEL_SYNC;
_delay_us(2);
LEVEL_BLACK;
_delay_us(30);
}
///////////////////////////////////////////////////////////////////////////////////////
inline void hsync_pulse()
{
LEVEL_BLACK;
_delay_us(2); //2.2us
LEVEL_SYNC;
_delay_us(5); //4.6us
LEVEL_BLACK;
_delay_us(7); //6.9us
}

///////////////////////////////////////////////////////////////////////////////////////
int main()
{
register unsigned int line;

/* NOTE THAT THE SIGNAL GENERATED BY THIS PROGRAM HAS A NOT VERY ACCURATE TIMING SO
IT IS POSSIBLE THAT THE IMAGE BLINKS ON YOUR TV SCREEN OR DOESN'T SHOW AT ALL,
THIS PROGRAM WRITTEN IN C (INSTEAD OF ASSEMBLER) IS ONLY A PROOF OF CONCEPT */

line = 0;
DDRD = 0xFF; // PORTD, all pins are outputs

while(1)
{

if (line == 313)
{
line = 1;
}
else
{
line++;
}


switch(line)
{
case 1:
case 2:
vsync_pulse();
vsync_pulse();
break;

case 3:
vsync_pulse();
equal_pulse();
break;

case 4:
case 5:
case 310:
case 311:
case 312:
equal_pulse();
equal_pulse();
break;

default:
// Image scanline (not a sync line)

hsync_pulse(); // Horizontal Sync, lenght = 14us

LEVEL_GRAY;
_delay_us(8);
LEVEL_BLACK;
_delay_us(14);
LEVEL_WHITE;
_delay_us(8);
LEVEL_BLACK;
_delay_us(14);
LEVEL_GRAY;
_delay_us(6);
//50us in total
}
}
}

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 октября 17:47:17 2019 | ссылка на пост    
Сообщений: 51
Автор: Кирилл Лукьянов
Константин, Вадим и вновь спасибо за советы.

Я решил первым делом попробовать вывести композитный сигнал, чтобы начать с малого.
Картинку я получил (https://youtu.be/Af9xZeLnXhE).

Теперь надо искать проблему, т.к. я либо налажал с доработками, либо где-то плохой контакт вероятнее всего на счетчиках. Картинка периодически срывается во второй и третьей части изображения, а так же есть пиксельный дребезг, вроде бы видел уже такую проблему где-то на форумах =).

Отдельное спасибо за идею с Arduino. Действительно надо попробовать сформировать нужный сигнал программно и убедится окончательно, что RGB есть или его нет =).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 октября 23:48:05 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Роман E-mail: Kr1033eu1@inbox.ru
Доброго времени суток, господа и товарищи форумчане! Подскажите, кто-нибудь покупал или собирал контроллер кравиатуры по Камилю Каримову? Плата называется ZXMC1 или ZXMC2 , две версии с небольшими отличиями? Если есть такие поделитесь опытом. Плату я собрал, прошил и контроллер и микросхему ATF16V8, подключил. Привключении с подключенной платой на экране 4 вертикальные полосы, две черные две белые. Без платы работает все штатно. Помимо собран контроллер дисковода С48 и подключен, работает как с дисководом так и с USB адаптером без проблем. За ранее благодарю за помощь.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 17 октября 02:21:48 2019 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Роман, вот тут про похожую проблему с подключением ZXMC2:
https://zx-pk.ru/threads/609-zx_multi_card.html?p=351713&viewfull=1#post351713

Кирилл, видео не могу посмотреть, нет к нему открытого доступа...

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 17 октября 12:36:20 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Кирилл Лукьянов
Константин, забыл открыть доступ по ссылке. Поправил, теперь должно показываться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 17 октября 16:17:52 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Кирилл Лукьянов
Константин, доброго времени суток.
В общем обе проблемы почти устранил.

Дребезг пикселей оказался, как я и предположил, следствием подвешенных в воздухе первых ног аналогов РУ7, которые я использую. Это исправилось быстро и легко.

А вот с дерганьем кадра возник небольшой вопрос.
Причина видимо в том, что требуется доработка "подергивания" кадров (http://zxbyte.ru/leningrad_video_out.htm).
Косвенное доказательство тому (https://youtu.be/eV0r9pc3SdI). При подключении щупа осциллографа, картинка появляется. Щуп обладает емкостью, видимо достаточной для стабилизации изображения.

Единственный вопрос в том, какой тип конденсатора лучше всего применить в данной доработке. Если я правильно прочитал номинал конденсатора из статьи, там установлен 1 микрофарад. Т.е. предлагается использовать емкость от 330 нФ до 1200 нФ. Осталось понять что за тип конденсатора нужен. Подойдет ли металлопленочный (https://www.chipdip.ru/catalog/import-film-capacitors?x.323=DxK&x.324=myK&locid=saint-petersburg-vosstaniya-8a)?
Или лучше взять что-то другое? Дело в том, что я попробовал собрать сборку из корректирующих конденсаторов, которые ставил на микросхемы. Так вот они как-то не помогли от слова совсем. Т.к. из-за длительности импульсов в 10-30 нс они видимо фильтровали этот сигнал как помеху, и уровень после установки такой сборки, даже на 400 нФ оказывался в логической единице.

Заранее спасибо за совет.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 20 октября 21:09:41 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Кирилл, для стабилизации кадровой развертки, 11-й вывод D6 заземляется через конденсатор 100-1000 пикофарад. Номинал подбирается опытным путем. Практичнее использовать мелкие керамические конденсаторы, но тут важен не тип и размер, а номинал.

В моём случае подошел конденсатор на 200 пФ:
https://www.cxemateka.ru/v1/Other.png

Подробнее об этом можно почитать в книжке «Ленинград-1 наладка и ремонт»:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 октября 15:33:29 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Кирилл Лукьянов
Константин, все удалось.
Картинка появилась (стабильная работа случилась на 390 пФ, на 200 пФ очень редко но подергивание оставалось).

Тестовая прошивка +- завелась, с небольшими артефактами на старте (https://youtu.be/KCFLhvetqIs).

А вот SOS48 видимо работает, судя по морганию одного из знакомест, но вот все остальное не очень (https://youtu.be/rUvQB0-hydU). Прошивал ту прошивку, что на этой странице сверху (sos48k.bin). Распилил ее на две части по 8 КБайт. В правый слот на плате (D29) поставил младшую часть прошивки, в левый (D41) старшую часть прошивки. Прошивка проверена, на микросхеме точно все ок. Еще один момент, вместо 27С64 у меня стоят 28С64В, чтобы не париться с ультрафиолетом, по идее это электростираемые аналоги 27С64, так что должны бы работать нормально.

На форуме есть пара записей с похожими симптомами за 2017 год. Хотя конечно странно, что тест ОЗУ работает, а SOS нет =). Кстати когда я втыкал тестовую прошивку, я подключал только одну микросхему, но полагаю это не должно играть роли.

Буду рад за идеи, куда посмотреть еще, кроме ЛП5 и счетчиков =).

Заранее спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 23 октября 09:08:11 2019 | ссылка на пост    
Кирилл Лукьянов
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Кирилл, плавный старт компьютера обеспечивается конденсатором C1 (и резистором R5). Легко исправность этой связки проверить осциллографом. После нажатия кнопки сброса, уровень на выводе 26 процессора должен плавно подниматься с 0 до 1.

Только сейчас заметил, сверху была ссылка на файл sos48k.bin (MD5: 6e09e5d3c4aef166601669feaaadc01c). Это вторая половинка прошивки для 128k - стандартная ОС для 48К с некоторыми модификациями. Лучше в чистый Спектрум 48К прошивать это (в статье тоже ссылку поменял):
http://www.cxemateka.ru/v1/sos48k_only.bin
MD5: 4c42a2f075212361c3117015b107ff68

И в D41 прошивается младшая часть прошивки #0000-#1FFF, а в D29 старшая часть: #2000-#3FFF

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 23 октября 16:59:55 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Роман E-mail: kr1033eu1@inbox.ru
Доброго времени суток, спасибо всем откликнувшимся в особенности Константину за наводку. Разобрался в проблеме, все оказалось до боли просто, недотрав в цепи сигнала IORQE и его замыкание на одну из ног АП6 из-за слишком мелких дорожек, очень маленького расстояния между ними и все это по причине некачественного напечатанного трафарета, это из первого, из второго неверно прошитые фьюзы, полапатил форумы поизучал даташиты и все заработало. Теперь имеется на моем варианте еще и карта ZXMC 2 со всеми ее примочками. Отдельное слово благодарности хочу выразить Камилю Каримову разработчику сего чуда, откликнулся объяснил некоторые нюансы, у него имеются конструкторы этих плат (свою травил сам) за недорого с уже прошитыми микросхемами Меги 8515 и АФТ плюс кто незнает в карте возможно обновление прошивки без программатора по RS-232, сам не проверял, у меня есть программатор. Карта работает стабильно есть некоторые пока неясные моменты с совместной работой QC 3.11 но это опять же могут быть мои косяки, в планах так же проверить и заюзать все возможности карты, а именно загрузка tap через ZXMC2? загрузка через карты CD/MMC. в карте реализована прикольная Фитча, горячими клавишами вызывается подпрограммы контроля мыши, клавиатуры, часов реального времени, и подпрограмма загрузчика, самое прикольное загрузка аж завораживает. Вообщем я доволен. в планах корпус из орг стекла 6мм (для надежности) и отдельная плата со всякими разъемами выводами вольт амперметром и т д! Константин спасибо Вам!!!
Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 23 октября 23:29:27 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Всем привет! Помогите пожалуйста опознать железяку: https://yadi.sk/i/To2P0NYY_qy7UA интересно с какого устройства, явно не компьютер.
Константин, может Вы знаете?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 1 ноября 14:23:21 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
Добрый день уважаемый Константин Айги и знатоки. Помогите с проблемой, укоротил строчный синхро импульс на своем Ленинграде 48к на микросхеме ЛА2 и сделал привязку к черному на микросхеме ТМ2, изображение появилось стабильное но появилась полоса с левой стороны, экран какбы сдвинут в право получается. Может кто сталкивался с подобным. Вот фото https://photos.app.goo.gl/SoASow6iHwipPWDB6 https://photos.app.goo.gl/v64GDZCNMFVj7G2SA
https://photos.app.goo.gl/9XQgvCNZmMSiDFCr9

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 ноября 14:27:21 2019 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Роман, не за что!

Максим, что это не знаю, но предположу, что это фрагмент какого-то промышленного оборудования. Помню, в своё время, кто-то мне говорил, что много Z80-х процессоров попадают в самодельные Спектрумы из старых станков и прочего подобного оборудования )

Юрий, похоже, что сигнал гашения получился слишком длинный в результате введения привязки к уровню черного. Рекомендую попробовать переделать эту доработку по схеме примененной в компьютере «Композит»:

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 9 ноября 11:01:25 2019 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Юрий E-mail: yura3816@gmail.com
Вобщем, разобрал старую дороботку, и сделал по схеме которую вы рекомендовали выше на трёх микросхемах по моему на ЛИ1, ЛА1,ТМ2, полоса ушла, по композиту изображение отличное, но по RGB картинка немного дергается, что самое странное когда сигнал синхры подключаю не на sync а на video то картинка не дёргается, осцылограф будет через месяц, остаётся только догадываться что это может быть
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 9 ноября 14:17:50 2019 | ссылка на пост    
Автор: Роман E-mail: kr1033eu1@inbox.ru
И снова всем привет. Вообщем посидел некоторое время в интернете плюс погоняв немного карту ZXMC-2 стало понятно, что проблема не в моих руках и есть такая же проблема у других людей именно карты ZXMC-2 и самого Quick Commander-а. На форуме https://zx-pk.ru/threads/24069-qc-3-11-i-zxmc-2.html разбирали эту проблему, но решения так и не нашли. Обращаюсь к форумчанам, может кто сталкивался с этой проблемой?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 9 ноября 17:22:37 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
Константин может есть предположения что это может быть? вот видео с поддергиванием кадра https://photos.app.goo.gl/tzXfu9zxa4ELKjZeA
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 ноября 09:25:38 2019 | ссылка на пост    
Автор: Юрий E-mail: yura3816@gmail.com
Вобщем вопрос по поводу того что экран дергается решен, просто добавил резисторы по 100 ом и все стало стабильно. Спасибо Константин, схемку что дали приму на заметку, вот вобщем по какой схеме делал доработку, все получилось просто супер, результатом доволен, проверил уже на четырех разных телевизорах ж.к. везеде работает стабильно картинка отличная https://photos.app.goo.gl/tVHffpwsMw3aW6A96 https://photos.app.goo.gl/wF94n1EkvxJuA1uh6
https://photos.app.goo.gl/Q4e4pweyVkoXKh9V7

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 ноября 12:34:18 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Константин, спасибо за ответ! В общем разобрался с этой неизвестной платой, восстановил обрезанные провода и о чудо эта хреновина заработала. Это что-то типа бегущих огней, цветомузыки и т.п. имеется 8 каналов, в ПЗУ зашито 24 программы. В общем штука интересная, но бесполезная. Пойдет на запчасти для новенького Пентагона 128)))
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 19 ноября 14:46:31 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Всем привет! Добрался до своего старенького КР-05, который когда-то раскурочил. Поменял пару битых счетчиков К555ИЕ7 (поставил 155 серии, других пока нет). С установленным процессором (без ПЗУ) есть бледная картинка, но нет матраца, экран и бордюр поочередно моргают. На шине данных тишина, ну т.е. +5в. Может, кто подскажет в какую сторону копать?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 25 ноября 20:55:50 2019 | ссылка на пост    
Автор: Maks Maksov E-mail: garret.tenb@gmail.com
Добрый день. Хотелось бы уточнить один нюанс и я надеюсь Вы сможете мне помочь. У меня есть Ленинград 48К, он одно время работал исправно, но однажды перестал грузиться с пзу и стоял в режиме "матраса". Когда я обзавелся программатором и купил пару микросхем Winbond 27C512 с доступом 70, и прошил их, я ничего не получил кроме пустого экрана. Грузится компьютер, потом матрас, а потом пустой экран. Начал играть с адресами на флеше, пробовал с адреса 08000, пробовал всю память забить прошивкой, но ни с одного адреса она не подгрузилась, так же пробовал шить с адреса 0C000 и тоже ничего.

И так вопрос:

С какого адреса надо начинать прошивать Бэйсик?

PS: Надеюсь на Вашу авторитетную поддержку.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 ноября 15:20:56 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Появились сигналы на шине данных, которые должны быть при отображении "матраса". Процессору "не нравилась" форма сигнала тактового генератора. Но матрас на экране так и не появился...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 ноября 17:28:08 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Константин скажите пожалуйста, если на 14-х (MD0-MD7) ножках всех ОЗУ по осциллографу не понятно что (шум какой-то), то им хана да?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 ноября 18:14:23 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Отпишусь еще раз сюда, может кто ответит...)))
ОЗУ проверил - рабочие, пришлось выпаивать и кроватки впаивать. Вставил ОЗУ, ПЗУ, проц. и проверил разные сигналы на плате осциллографом: WRBUF-есть, RAS-есть, INFV-есть, INT-есть, WAIT-есть, SCR-есть, WR-есть, TH-есть, H0-H7-есть, БС-есть, БК-есть, КС-есть, СС-есть, CAS-нет(H), IORQ-нет(H), RD-нет(L), IORD-нет(H), WE-нет(H). Не знаю в чем проблема, будем искать...

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 декабря 17:32:09 2019 | ссылка на пост    
Автор: Максим E-mail: ixide@mail.ru
Нашел две сопли: линия CAS коротила с плюсом а RD с землей. Исправил, появились сигналы CAS, RD и WE. IORQ и IORD так и нет, ищем дальше...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 3 декабря 18:23:30 2019 | ссылка на пост    
Автор: Damask E-mail: Janerige@gmail.com
Привет, Константин!
У меня пк оризон-микро. Я пытаюсь подключить ay-3-8910 по схеме https://zxpress.ru/article.php?id=11995
Только микросхемы у меня другие,импортные аналоги. Похоже, что проблема в подключении к 1,75мгц, я так думаю. Если подключить как советуют к выводу 12 микросхемы ие7, то сыплятся артефакты и пк нормально не загружается. Если его отключить вовсе, то на экране черный квадрат с тонкими красными полосами. Методом тыка (не научно, но читабельной схемы нет, и частоту измерить нечем) подключился к 13 ноге одной из ие7. Загружается, артефактов нет, но и звука нет. Что я делаю не так? Схему проверял много раз. Грешу на источник 1,75мгц.
Если есть рабочее решение, буду благодарен!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 декабря 14:32:29 2019 | ссылка на пост    
Автор: 156
Damask. Найдите куда идет контакт от 6 ножки Z80.
Скорей всего к оной из ног (3,2,6,7) ИЕ7. Если к 3 то ищите 1,75 на 2 ноге, если на 2 то на 6, если на 6 то на 7.
Назначение контактов у ИЕ5 и ИЕ7 разное, в статье схема для получения 1.75 на отдельной ИЕ5.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 01:43:20 2019 | ссылка на пост    
Автор: Damask E-mail: janerige@gmail.com
Спасибо за ответ, 156!
Вывод 6 z80 подключен к микросхеме к155лл1 к выводу 11. больше никуда не ведет.
Я так понимаю придется делать отдельный генератор? Сейчас посмотрю, может в других клонах вывод 6 тоже так подключен.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 04:18:35 2019 | ссылка на пост    
Автор: 156
А 12 и 13 выводы с этой лл1?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 04:28:21 2019 | ссылка на пост    
Автор: Damask E-mail: janerige@gmail.com
156, 12 вывод подключен к 4 ноге ее же, и к 13 и 2 ноге к555ла3.
13 вывод к 6 ноге кр1533тм2

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 05:04:25 2019 | ссылка на пост    
Автор: 156
Damask.
3 вывод кр1533тм2 ( к 6 ноге которого подключен 13 вывод лл1) должен идти к 5 ножке нужной ИЕ7. На 2 ноге нужной ИЕ7 должен выходить 1.75.
Вот тут я могу ошибаться. Схему можно найти тут -https://zx-pk.ru/threads/6435-vosstanovlenie-quot-orizon-micro-quot/page4.html , но для меня она почти не читаемая...

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 16 декабря 05:50:26 2019 | ссылка на пост    
Сообщений: 2
Автор: Damask E-mail: janerige@gmail.com
Нашел эту ие7, все как вы сказали, но при подключении к выводу 2, вижу черный квадрат с красными полосами :-(
через некоторое время полосы исчезают и остается лишь черный квадрат.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:10:04 2019 | ссылка на пост    
Автор: 156
Damask. К сожалению это все, что я могу подсказать. Тут нужна помощь от знающих людей, попробуйте ещё задать вопрос на zx-pk.ru
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:20:49 2019 | ссылка на пост    
Сообщений: 2
Автор: Damask
156, Благодарю за помощь!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:23:11 2019 | ссылка на пост    
Сообщений: 4
Автор: Damask
156, я подключил к выводу 3 ие7, к которой пришел на вывод 5 как вы писали(
3 вывод кр1533тм2 ( к 6 ноге которого подключен 13 вывод лл1) должен идти к 5 ножке нужной ИЕ7. На 2 ноге нужной ИЕ7 должен выходить 1.75.) и появились какие-то звуки стерео, на на музыку это мало похоже, скорее на постреливание или на попытку прорваться

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 декабря 06:40:54 2019 | ссылка на пост    
Сообщений: 4
Автор: Ovcharenkod E-mail: diodimon@gmail.com
Добрый день, как правильно прошить 27с512, для тестовой прошивки 48к и ОС48к. Спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 января 15:15:50 2020 | ссылка на пост    
Автор: Дмитрий
Добрый день, как правильно прошить 27с512, для тестовоой прошивки 48к и ОС48к.Спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 января 15:20:04 2020 | ссылка на пост    
Сообщений: 8
Автор: Randomize_Usr
to ovcharenkod.
Зашивайте ОС48 во все четверти 27с512, не ошибетесь.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 января 21:03:31 2020 | ссылка на пост    
Сообщений: 23
Автор: Артем E-mail: eviver@mail.ru
Константин, мог бы подсказать, почему при выполнении теста с тестовой пзу, когда по бордюру идут черно-белые линии, изображение дергается и расплывается, что шрифт толком не прочитать на экране ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 января 22:15:42 2020 | ссылка на пост    
Автор: trefas E-mail: trefas@yandex.ru
Доброго дня Константин. Нет поиска в комментариях, поэтому прошу простить если повторю чей-либо вопрос. На chip&dip нахожу только AT27C256R-70PU такую пзу. Потребуется ли вносить изменения по железу. К сожалению не соображаю в даташитах и схемотехнике, но очччень хочется самостоятельно собрать этот комп. Заказал платы на jlcpcb. Логика и простая рассыпуха есть в чипИдипе. Вот только нет процессора и ПЗУ
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 января 11:22:59 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Артем, варианты разные могут быть. Но если речь о "Ленинграде" подключённом через SCART, то без доработки по привязке к уровню чёрного можно получить подобный эффект. Телевизор будет брать цвет бордюра за уровень чёрного, со всеми вытекающими отсюда последствиями.

trefas, вариант памяти в корпусе 28-lead PDIP (DIP-28) должен работать без доработок, главное прошить правильно.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 января 18:52:32 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Максим E-mail: ixide@mail.ru
Всем привет! Так и не могу наладить свой клон, нашел причину мигания бордюра, вышла из строя одна из КП13. Потом произвел доработку сигнала синхронизации и привязку к уровню черного. Теперь при включении экран и бордюр почему-то ярко желтого цвета. При нажатии любой клавиши пищит бипер и происходит сброс. https://www.youtube.com/watch?v=jn4WCMJFdLY
Константин, не подскажите в чем может быть проблема?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 января 12:45:54 2020 | ссылка на пост    
Автор: Андрей Семенов
Всем доброго дня! В N-ный раз все пересмотрел и перечитал. Потихоньку начинаю вникать, пока жду плату, детали и оборудование из Китая. И вот, что подумалось... Крик души - так сказать. Константин или кто-то еще неравнодушный и грамотный, запилите видео на подобии https://www.youtube.com/watch?v=HyznrdDSSGM&list=PLowKtXNTBypGqImE405J2565dvjafglHU где на макетках парень все устройство ПК разбирает. Я вот задался целью понять тактовый генератор спека и вот, к каким выводам пришел: кварц на 14МHz, D1(1533ЛН1), D2(1533ТМ2) и резистор R1 (360 по одной схеме и 430 по другой) являются серцем нашего ПК. У Константина на видео синусоида на 6 ноге z80 не красивая получилась и он заменил R1 на 470 и следом еще один на следующий инвертор поставил. Вот если бы это отдельным видосом оформить и так далее про все узлы было бы оччччень круто. Я дальше вряд ли сам разберусь :(
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 19 января 14:09:44 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Максим, надо бы прогнать тест памяти, посмотреть, что он покажет. А так, похоже, что что-то где-то перемкнуло или же доработки собраны неверно.

Андрей, рекомендую изучить книжку «Ленинград-1 наладка и ремонт», ссылка на неё есть тут:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 января 14:32:18 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Андрей Семенов
Константин, спасибо за ссылочку. Я качал ранее книжку Настройка и ремонт с zxpress.ru в формате djvu. У вашей pdf кач-во получше будет, читабельнее.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 января 21:01:21 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Максим E-mail: ixide@mail.ru
Константин, спасибо за ответ! До доработки сигнала синхронизации он себя так же вёл, просто изображение было Ч/Б. Буду пробовать прогонять тест памяти.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 января 16:59:08 2020 | ссылка на пост    
Автор: Дмитрий
Добрый день. Запустил я свой Ленинград (версия Композит), есть вопрос при загрузке отсутствуют полосы на бордюре. Подскажите куда копать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 января 23:03:11 2020 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, рекомендую для проверки запустить такую команду:
RANDOMIZE USR 1333

Если с видеоконтроллером и портами серьезных проблем нет, то по бордюру побегут полосы, а бипер будет издавать шум.

Если этот тест проходит, то нужно будет проверить весь узел чтения с магнитофона. Проще всего это сделать осциллографом: надо проследить прохождение сигнала с аудиовхода до 11-го вывода (TAPE IN) D37 (по схеме "Композита"). На схеме "Ленинграда" TAPE IN – это 11-й вывод D38.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 26 января 07:08:57 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин, загрузка с мафона работает, программы грузятся и работают.
Randomize проверю.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 января 11:15:52 2020 | ссылка на пост    
Сообщений: 8
Автор: Дмитрий
При выполнении RANDOMIZE USR 1333 бордюр молчит.
Похоже на видеоконтроллер. что может быть.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 января 11:35:51 2020 | ссылка на пост    
Сообщений: 8
Автор: Дмитрий
Еще такой нюанс, D43 (по схеме "Композита") вместо 555КП11 стоит 555КП16, не может ли это быть причиной.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 января 12:13:28 2020 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
D43 и должен быть КП16, чтобы привязка к уровню чёрного корректно отрабатывала. На моём "Композите" тоже КП16 установлен.

Нужно проверять весь узел формирования бордюра. Цвет бордюра формируется на регистре ТМ9 (выводы 7, 10, 12 D35). Включение и выключение отрисовки бордюра происходит по сигналу BORDER (вывод 6 D13). Переключение между отображением бордюра и PAPER осуществляется мультиплексорами D33, D34.

Скорее всего сигнал BORDER не доходит до мультиплексоров, возможен также выход из строя ТМ9, что-то может быть не так и с D33, D34, но это маловероятно.

P.S. Номера микросхем указаны по схеме "Композита".

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 27 января 02:34:24 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин, спасибо разобрался.
Не было сигнала IOWR.
Была оборвана дорожка от 22 вывода Z80, к 12 выводу D14

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 января 23:34:59 2020 | ссылка на пост    
Сообщений: 8
Автор: Damask E-mail: janerige@gmail.com
Посоветуйте пожалуйста схему подключения ay-3-8910
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 января 01:59:16 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Damask, я в своё время вот по этой схеме подключал AY к разным клонам:


Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 января 10:42:18 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Андрей Семенов
Доброго дня всем. В очередной раз проверяя свой заказ на jlcpcb.com куда я отправил архив с платой ленинграда размещенный на этой странице я обнаружил, что не китайский новый год тормозит выпуск и отправку мне плат, а некая причина приостановки производства. Ссылка с jlcpcb говорит, что мол смотрите почту. И с ужасом я обнаружил, что и правда от них было письмо в котором говорится что обнаружен обрыв дорожки в схеме в районе D2, D11 на слое bottom. Что дальше делать ума не приложу. Ссылки в письме устарели и как за свои кровные с китайцами теперь тягаться. Может сталкивался кто??? Даже если там этот разрыв и нужен, как теперь запустить производство
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 января 14:00:25 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Андрей Семенов
Разглядел внимательнее. там с 4 ноги Д14, а не Д11 идет дорожка по нижнему слою и прерывается, а после разрыва продолжается и под Д2 переходит на верхний слой и идет дальше к 14 ноге Д4. Т.Е. такой предполагается маршрут Д14.4 - Д4.14 По схеме с SBLive.narod.ru 14 нога ИЕ7(Д4) на землю, вместе с некоторыми другими, а сигнал от ИЛИ элемента Д14 должен на 12 ногу приходить. В общем засада... Но мы не сдадимся. Китайцам отписался, что мол как вам удобнее, так и делайте (хотите исправляйте обрыв, хотите, так печатайте) только бы побыстрее. Из деталей ждем еще процессор с АлиЭкспресса, потому что ранее заказывали Z84C00 на чипИдипе, и слава Богу вовремя отменили позицию прочитав тут, что этот проц КМОП и с нашей платой не подружится где почти все ТТЛ.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 января 14:38:23 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Андрей Семенов
Да... Действительно сборка спека это детектив. И это я еще паяльник в руки не брал.
Нашел на сайте sblive.narod.ru тот архив, что здесь выложен и такие слова: NEW>>> Leningrad48k.rar - набор файлов gerber & excellon . Полностью оригинальный вариант платы с одной перемычкой (дорожку резать не нужно) и подрезанными пятаками , в маске пятаки так же подрезаны ( пример - PadsCut.gif ).
Т.е. дорожка за которую меня китайцы ругали порезана умышлено и надо будет припаять перемычку чтобы не Д14.4, а Д14.6 шло на Д4.14. Ну, а так ли это, будем посмотреть когда собирать будем.
Статус моего заказа платы пока все еще - suspended (заморожен)

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 января 23:10:41 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Андрей, да, КМОП процессор не подойдёт. И действительно, на плате есть одна перемычка между выводом D14-6 и дорожкой ведущей к D4-11. Вот тут я устанавливаю эту перемычку:
https://youtu.be/8rWCYo1UcOQ?t=3m40s

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 1 февраля 10:55:07 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Lexa48
Здравствуйте, Константин!
Скажите, можно ли применять ваши схемы расширения и доработки для второго Ленинграда? И что говорят тесты на расширение?
Дело в том, что я расширил свой Ленинград-2 по схеме :https://zx-pk.ru/threads/8903-rasshirenie-ozu-leningrad-2.html?p=198332&viewfull=1#post198332
Применил в качестве ру7 аналог d41256c-10,стоит bdi типа himac. Но как мне кажется расширение работает не корректно, Iramtest определяет 48 КБ, тест 4.30 128 определяет, но пишет, что порт #7ffd на чтение невозможен. И так с разными тестами, то 48 определяет, то 128. Блокировку we я проверял. Вторая часть satisfaction дико тормозит, вместо кубика в последней части краказябра, в части где карта экран скроллится со скрипом, а музыка звучит в два раза медленнее (ay я подключал через дешифратор ид7).
Вот спросить хотел, это проблема всех Ленинградов? Думаю может буферизовать сигналы клавиатуры через ап, может процессор сильно наружен? Проц кстати стоит с буковкой С, но он единственный который корректно работает на моем Ленине из всего что у меня есть, другие ещё хуже.
Спасибо за ответ, если ответите!

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 8 февраля 11:06:33 2020 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Lexa48, в принципе вышеприведенные схемы можно и для второго «Ленинграда» использовать, т.к. принцип мультиплексирования памяти в «Ленинградах» схож:
https://zx-pk.ru/threads/9564-leningrad-2-rasshirenie-do-128.html

41256 DRAM, строго говоря, не полный аналог РУ7 – в них есть различия. При увеличении памяти лучше использовать именно 41256, т.к. они требуют меньше циклов регенерации, и интегрировать их в Спектрум проще. Выше я привел три разные схемы апгрейда памяти (для РУ7, 41256 DRAM, для двух линеек РУ5).

При корректно выполненном апгрейде памяти все тесты должны правильно определять наличие 128K. Во всяком случае, и IRAMTEST, и TEST 4.30 на доработанном «Ленинграде» расширенную память видят. Ну, а чтение из порта #7ffd на стандартном Спектруме и не должно быть возможно. Кстати, важно чтобы и ПЗУ 128K присутствовало, т.к. некоторые тесты архитектуру именно с ПЗУ начинают проверять.

Что касается демок, то многие из них действительно адаптированы для «Пентагона», а он быстрее «Ленинградов». Т.е. в демах могут быть и торможения и некоторые странности.

Ну и важно, чтобы второй экран был правильно реализован при расширении памяти, т.к. эта фича активно используется в демках. Наличие второго экрана проверяется так:
1. заходим в BASIC 128К, даем команду USR 0
2. попадаем в BASIC 48K с поддержкой порта #7FFD
3. даем команду: OUT 32765,24

Если все правильно, основное поле экрана станет чёрным, но при этом компьютер будет реагировать на команды (выход по ресету).

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 9 февраля 04:05:25 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Lexa48
Спасибо за разъяснение!
Удалось сегодня подключить пентагоновское ПЗУ 27с512 и о,чудо,тест IRam увидел наконец расширенную память! 4.30 тоже видит и по out в 48 бейсике положенный чёрный экран.
Просто не привык к таким машинкам,вырос на пентагонах и Таганрогах и горя не знал с быстродействием), хотя Ленинград наверное лучший комп для изучения работы спектрума.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 9 февраля 16:07:21 2020 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Да, «Ленинград» легко собирать и дорабатывать, более того некоторые и WAIT убирали из схемы «Ленинграда» как раз, чтобы демки шли как на «Пентагонах». Вот есть пара старых дискуссий на эту тему:
https://www.cxemateka.ru/ftp/LEN2PENT.TXT

https://www.cxemateka.ru/ftp/LEN2PEN2.TXT

Сам такого не делал, но изложено там всё в принципе достаточно грамотно, т.е. действительно можно, при желании, превратить «Ленинград» в некое подобие «Пентагона» ;)

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 9 февраля 18:10:55 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Скажите пожалуйста можно ли к Ленинграду1 (по схеме "Композита") переделанный на 128, подключить контролер дисковода NEMO FDС.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 12 февраля 14:47:25 2020 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин, подскажите пожалуйста, на ссылке, что вы дали указано, сигнал CSROM садить на 20 вывод 27С512, по Вашей схеме переделки указано, что 20 вывод на землю, подскажите куда подключить CSROM, и с Вайтом не очень понятно, подскажите пожалуйста.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 16 февраля 16:06:43 2020 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, чтоб тут не запутаться, надо правильно понимать условия входа в систему TR-DOS.

Вход осуществляется по адресам в промежутке #3D00-#3DFF (в стандартном ПЗУ SOS48K тут расположен шрифт, т.е. исполняемый код отсутствует).

Соотв. условия для входа на уровне сигналов Z80 такие:

1. На старшем разряде адресной шины (A8-A15) должно быть число #3D:
A8=1
A9=0
A10=1
A11=1
A12=1
A13=1
A14=0
A15=0

2. Вход осуществляется в момент выполнения процессором команды, т.е.:
MREQ=0
M1=0

3. При этом вход в TR-DOS не должен быть возможен в момент активности ПЗУ SOS128K. Т.е. ещё одно условие для входа: разряд D4 порта #7FFD должен быть в 1.

Теперь смотрим, какие условия входа соблюдены на схеме NEMO FDC.

1. На DD13:
A8=1
A10=1
A11=1
A12=1
A13=1

2. На DD5.2:
CSROM=0 (т.е. A14=0, A15=0, MREQ=0) – аналог RDROM по схеме «Ленинграда».
M1=0
A9=0

В общем, тут всё как надо.

Ну, а WAIT на NEMO FDC задействован в узле формирования сигнала MAGIC (сброс состояния компьютера на дискету по нажатию соотв. кнопки).

Тут уже надо в процессе отладки это дело проверять. В теории может и WAIT «Ленинграда» тут подойти (всё же на компьютере KAY WAIT формируется схожим образом). Есть также схема замены этого сигнала для подключения контроллера к разным другим клонам:




Схема отсюда: https://zx-pk.ru/threads/30533-dorabotka-nemo-fdi.html

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 17 февраля 06:52:30 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: kolondrej
Добрый день. Для варианта 14.0 МГц, чтобы укоротить строчный синхроимпульс, никто не пробовал вместо сигнала Н7 подать сигнал Н5 на D40.10?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 24 февраля 20:28:39 2020 | ссылка на пост    
Автор: Alexander
Константин, добрый день.
Спасибо вам большое за ваше видио на Ю-тюбе, оно вдохновило меня исполнить свою детскую мечту и собрать-таки свой Ленинград-2))
Я даже нашел микросхемы, которые я покупал для этого специально (вместе с бабушкой), когда еще был СССР))
Читаю литературу на этот счет, но осознаю, что знаний недостаточно, а хочется вникнуть в работу схемы более детально...

Подскажите пожалуйста, существуют ли программы для ПК, на которых можно "собрать схему" и посмотреть ее работоспособность. Увидеть на каком выводе микросхемы, какой уровень сигнала, диаграмы работы на каждом такте ЦП и т.п? Возможно та программа, которую вы использовали, когда делали доработку для "Нафани"? Или она только для производства плат?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 24 февраля 22:39:32 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
kolondrej, не прокатит ) Да, синхросигнал укоротится, но появится ещё один лишний импульс, что приведет к срыву картинки, плюс строка сместится в сторону от центра, т.е. рисунок будет сдвинут.

Alexander, для доработки «Нафани» я чертил схему в «Eagle CAD» (это софт для черчения схем и создания плат).

А для симуляции можно использовать, например, «Icarus Verilog» (http://iverilog.icarus.com/) + «GTKWave» (http://gtkwave.sourceforge.net/).

Вся стандартная TTL-логика давно описана на Verilog HDL (язык, используемый для описания и моделирования электронных систем). Найти исходники можно в сети на разных ресурсах, но наиболее полная коллекция логики серий 74xx есть в библиотеке maxplus2 программы «Quartus II 13». В этой программе можно схемку начертить, а потом экспортировать в Verilog HDL, хотя лучше и правильней всю основную логику прописывать вручную, т.к. после экспорта код всё равно придется править в большинстве случаев. Ну, а исходники нужных чипов логики можно из «Quartus'а» экспортировать по отдельности.

Сопоставить отечественную маркировку с импортной можно по справочникам, например:
http://rfanat.qrz.ru/s21/ms_74xx.html

На практике это выглядит так. Мы можем, например, быстро и легко сделать симуляцию тактового генератора и получить сигналы: TI, RAS, H0, H1, H2, H3. Нам понадобится для этого всего два элемента: ТМ2 (7474.v) и ИЕ7 (74193.v).

Создать нам надо будет четыре файла:
leningrad.v – это будет часть тактового генератора «Ленинграда»
leningrad_tb.v - test bench (файл, в котором описаны параметры симуляции)
7474.v – триггер ТМ2
74193.v – счетчик ИЕ7

-----------------------------------------

// Файл leningrad.v

module leningrad(
    CLK,
    VCC,
    GND
);

// Входные сигналы: CLK = 14 МГц, VCC = 1, GND = 0
input wire    CLK;
input wire    VCC;
input wire    GND;

wire H0;
wire H1;
wire H2;
wire H3;

wire TI;
wire TI_inv;

wire RAS;
wire RAS_inv;

ttl_7474 D2(
    .n1CLK(CLK),
    .n1D(TI_inv),
    .n1PRN(VCC),
    .n1CLRN(VCC),
    .n1Q(TI),
    .n1QN(TI_inv),
    .n2CLK(TI),
    .n2D(H0),
    .n2PRN(VCC),
    .n2CLRN(VCC),
    .n2Q(RAS_inv),
    .n2QN(RAS));

wire [3:0] H;
wire [3:0] P = 4'b1111;

counter_74193 D3(.clr(GND),.up(TI_inv),.load_n(VCC),.P(P),.Q(H));

assign    H0 = H[0];
assign    H1 = H[1];
assign    H2 = H[2];
assign    H3 = H[3];

endmodule

-----------------------------------------

// Файл leningrad_tb.v

`timescale 1 ns / 100 ps

module leningrad_tb;

reg CLK, VCC=1, GND=0;

leningrad LENINGRAD (.CLK(CLK),.VCC(VCC),.GND(GND));

// Период в наносекундах. Для 14 МГц, период будет равен: 1000/14=71,42
always #71 begin
    CLK =~ CLK;
end

initial begin
    CLK=0;
    // Длительность симуляции в наносекундах
    #7100 $finish;
end

// Полный дамп результатов симуляции
initial begin
    $dumpfile("leningrad_tb.vcd");
    $dumpvars(0,leningrad_tb);
end

endmodule

-----------------------------------------

// Файл 7474.v

// Purpose: D flip-flop
// Western: SN74LS74
// USSR: K555TM2/К555ТМ2


module ttl_7474 (
    n2CLRN,
    n2CLK,
    n2D,
    n2PRN,
    n1CLRN,
    n1CLK,
    n1D,
    n1PRN,
    n1Q,
    n1QN,
    n2Q,
    n2QN
);

input wire    n2CLRN;
input wire    n2CLK;
input wire    n2D;
input wire    n2PRN;
input wire    n1CLRN;
input wire    n1CLK;
input wire    n1D;
input wire    n1PRN;
output wire    n1Q;
output wire    n1QN;
output wire    n2Q;
output wire    n2QN;

reg    DFF_10=0;
reg    DFF_9=0;

assign    n1Q = DFF_9;
assign    n2Q = DFF_10;

always@(posedge n2CLK or negedge n2CLRN or negedge n2PRN)
begin
if (!n2CLRN)
    begin
    DFF_10 <= 0;
    end
else
if (!n2PRN)
    begin
    DFF_10 <= 1;
    end
else
    begin
    DFF_10 <= n2D;
    end
end

assign    n2QN =  ~DFF_10;

assign    n1QN =  ~DFF_9;

always@(posedge n1CLK or negedge n1CLRN or negedge n1PRN)
begin
if (!n1CLRN)
    begin
    DFF_9 <= 0;
    end
else
if (!n1PRN)
    begin
    DFF_9 <= 1;
    end
else
    begin
    DFF_9 <= n1D;
    end
end

endmodule

-----------------------------------------

// Файл 74193.v

// Purpose: Presettable 4-bit binary up/down counter
// Western: SN74LS193
// USSR: 555IE7/555ИЕ7


module counter_74193
(
  input clr,
  input up,
  input down,
  input load_n,    // Initial counter value load strobe
  input [3:0] P,   // 4-bit parallel input

  output co_n,      // Carry-out
  output bo_n,     // Borrow-our
  output [3:0] Q
);

reg co = 1'b0;
reg bo = 1'b0;
reg [3:0] count = 0;

always @(posedge clr or negedge load_n or posedge up or posedge down)
begin
  if (clr)
  begin
    count <= 4'b0000;
    co <= 1'b0;
    bo <= 1'b0;
  end
  else if (~load_n)
    count <= P;
  else if (up)
  begin
    count <= count + 1;
    // Set carry-out flag when counted till 14 ('b1110) and up is active (high)
    co <= ~count[0] & count[1] & count[2] & count[3] & up;
    bo <= 1'b0;
  end
  else if (down)
  begin
    count <= count - 1;
    co <= 1'b0;
    // Set borrow out flag when counted till 1 ('b0001) and down is active (high)
    bo <= ~(~count[0] | count[1] | count[2] | count[3]) & down;
  end
end

assign Q = count;
assign co_n = ~co;
assign bo_n = ~bo;

endmodule

-----------------------------------------

Компилируем так (на Linux):
iverilog -o leningrad_tb -s leningrad_tb *.v
vvp leningrad_tb

Полученный файл leningrad_tb.vcd открываем программой GTKWave:



Теперь мы видим, что
1. TI = CLK/2 = 14/2= 7 МГц
2. RAS = TI/2 = 7/2 = 3,5 МГц
3. H0 = 3,5 МГц (смещен относительно RAS)
4. H1 = 1,75 МГц (отсюда берут тактовый сигнал для AY-3-8910)
5. H2 = 875 КГц
6. H3 = 437,5 КГц

В сети есть и исходник процессора Z80 на Verilog HDL, так что можно так и весь «Ленинград» сэмулировать. Будут, правда, некоторые сложности с правильной эмуляцией сигнала INT, но и это при желании возможно.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 февраля 15:50:12 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis E-mail: igagis@gmail.com
Решил запустить свой старый спектрум "Ленинград" после того как он 20+ лет лежал без включения. как ни странно он вроде сразу заработал. Возникла лишь проблема с подключением его к телевизору. Старых телеков у меня уже нет, есть только современный, но у него есть СКАРТ. В общем, спаял я провод для подключения к СКАРТу и он вроде даже как-то заработал, но вот картинка моргает, то есть 0.5-2 секунды картинка есть, потом черный экран на 0.5-2 секунды и эти моргания случайны в этих пределах. В общем полез проверять частоты и синхроимпульсы. Выяснил что у меня стоял кварц на 13МГц и D4 сконфигурирована для 13.5 МГц (согласно http://zxbyte.ru/leningrad_upgrade.htm#2), хотя частота строчных синхроимпульсов была почти такая как надо, но вот точное число не записал.

Поставил кварц 14 МГц и переконфигурировал D4 соответственно. Добавил конденсатор 220 пф.

Сделал доработку стабилизации тактового генератора.

После этого всего частоты вроде такие как надо плюс минус. Только вот особо это не помогло, картинка всё так же моргает.

Заметил что формы сигналов странные, вот пример строчных синхроимпульсов с 13 ноги D11, а также 6 нога процессора: https://photos.app.goo.gl/verzDXs2uhZVhpk16

Как видно на 6 ноге проца отнюдь не синусоида, а строчные синхроимпульсы не совсем прямоугольные... Не знаю может ли проблема быть в этом?

Куда ещё смотреть, что копать?

Планирую потом расширить память до 128к и подключить звуковой чип.

Заранее благодарен,

Иван

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 февраля 03:40:18 2020 | ссылка на пост    
Автор: Андрей Семенов
Наконец пришли платы с JLCPCB и добрые китайцы, все таки залечили разрыв дорожки, о кот. я узнал позже https://www.cxemateka.ru/img/trefas/s.photo.jpg?1582965760. Это теперь меня ночью разбуди и я покажу где он должен быть... Прийдется резать. Начинаем сборку https://www.cxemateka.ru/img/tmp/trefas/s.5e5a23ba.jpg?1813126305
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 февраля 11:49:26 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Андрей Семенов
Первое фото перепутал и сослался на аватарку. Вот место на плате https://www.cxemateka.ru/img/tmp/trefas/s.5e5a237d.jpg?1137006577
Кто, как и я, сходу может пальцем ткнуть???

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 февраля 11:53:27 2020 | ссылка на пост    
Андрей Семенов
Сообщений: 7
Автор: Александр
Привет всем. Давненько я не заходил сюда. По причине небольшой тормознутости моего Ленинграда-256 пришлось применить вот эту схему доработки: https://www.cxemateka.ru/ftp/LEN2PEN2.TXT
Теперь демки идут как надо. Проверил с помощью демо Gemba boys - We are alive, всё работает. НО! Есть одно большое НО! Мышку от ЕС-ки мне пока так и не удалось подключить. Собирал контроллер по этой схеме: http://zxpress.ru/article.php?id=4708
Затем пытался переделать схему дешифратора ИД7 уже по этой схеме, чтобы был выход сигнала IORQ с контроллера: http://zxdn.narod.ru/hardware/ad11kmse.htm
При попытке включить комп со вставленным контроллером на экране вместо меню появляется лишь обычный "матрас", только с фиолетовыми полосами, вместо белых, на reset не реагирует. При этом, если вытащить контроллер и нажать reset, комп запустится в обычном режиме. Как это исправить, помогите мне, пожалуйста.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 февраля 22:33:56 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Привет всем!

Небольшой апдейт по моей проблеме. Похоже, что частоты сигналов тут непричем.

Оказывается, Ленинград всегда фигачит одно и то же поле видеосигнала. Кстати, оригинальный спектрум похоже тоже (согласно https://spectrumcomputing.co.uk/forums/viewtopic.php?f=22&t=1102).

Вот осциллограмма с 11 ноги D11: https://photos.app.goo.gl/AWCREbGkqgffHFhg8

Тут видно начало кадрового синхроимульса, видно что он немного смещён относительно строчного синхроимпульса. Настройки синхронизации видно снизу, частота кадров 50 Гц, одно поле. При 2 полях осциллограф не засинхронизировать, т.е. Ленин выдает только одно поле. Думаю это и не нравится современным телекам, пробовал на двух разных, на обоих синхронизация срывается.

Пока у меня идея попробовать как-то доработать схему, чтобы было чередование четных и нечетных полей. Не решил пока как это лучше сделать. Может на ATmega8 сделать генерацию этого сигнала...

Решал ли кто-нибудь такую проблему? Есть ли идеи как это лучше осуществить?

Спасибо,
Иван

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 2 марта 18:15:26 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
[b]Ivan Gagis[/b] попробуйте исправить доработкой видеовыхода (вариант 2) с сайта zxbyte. http://zxbyte.ru/leningrad_video_out.htm
Очень полезная вещь.

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 2 марта 19:02:11 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
К слову, на своём компьютере я ставил:
1. Расширение до 256к (по Скорпионовскому варианту)
2. Упрощенная дешифрация портов (схема была сделана для Скорпиона, но на Ленинград тоже можно было её поставить.)
3. Порт FF убрал! Для современных программ он по-большому счёту, не нужен, кроме того, он конфликтовал с контроллером дисковода.
4. Звуковой чип переключил на выходы ИД7 по расширению памяти.
5. Полностью отрезал WAIT от процессора, переделал схему обвязки микросхемы DD5 и сделал новую схему формирования сигнала INT - вот по этой схеме: https://www.cxemateka.ru/ftp/LEN2PEN2.TXT. Чтобы комп работал "без тормозов";)
Вот схема подключения контроллера мвышки: http://zxbyte.ru/kempston_mouse_for_zx.htm
По этой схеме я сегодня пытался "запихнуть" свою мышь в компьютер, и как обычно, неудачно((( Склоняюсь к тому, чтобы купить Z-controller и не париться;) Но пока ещё не взял его, прошу помощи в том, чтобы подключить мою мышку к спектруму!

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 2 марта 19:14:11 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Вот такое безобразие он мне показывает теперь при подключенном контроллере мышки:image
Помогите мне это исправить, пожалуйста!

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 2 марта 20:24:50 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Михаил
Константин, приветствую! Может Вы сталкивались с подобной проблемой, или есть какие-то идеи... не знаю даже на что подумать и где теперь искать...(

У меня при выводе на СКАРТ имеется рябь или помехи
https://www.cxemateka.ru/img/rex/5e6238fd.jpg?1583495421

Интерсно, что в некоторых комбинациях бордюра и цвета (например, зеленый цвет на синем фоне или зеленый цвет на белом фоне) картинка идеальная
https://www.cxemateka.ru/img/rex/5e623962.jpg?1583495522

Отмечу, что инверсия ведет себя соответственно.

Заменил все транзисторы КТ315 на выходе RGB импортными аналогами, был пробит один на красный цвет и не было красного и соответственно других цветов, создаваемых при смеси красного... Может быть, в моем случае имеется какое-то несовершенство кодера, или такая помеха нивелируется ЭЛТ-теликом? У меня Магик-05, упрощенная версия Кворума. На Кворуме писали кодер-секам, на Магике - нет такой надписи, хотя цветная картинка присутствует.

Вот фото узла кодера
https://www.cxemateka.ru/img/rex/5e623d02.jpg?1583496450

Не знаю видны ли фото, если что, они на моем профиле. Заранее благодрен.

п.с. использовал адаптер скарт-hdmi, еще пробовал адаптер GBS-8200 думая, что скарт-hdmi как-то влияет, но результат очень похожий в обоих случаях.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 6 марта 15:24:19 2020 | ссылка на пост    
Михаил
Сообщений: 2
Автор: Александр
Доброго времени суток. У меня всё получилось! Мышка от ЕС-ки заработала, как надо. Проблема была в плохом контакте разъёма контроллера - он у меня сделан на цанговых дорожках и ножки слишком маленькие были - пришлось каждую нагреть и пододвинуть к краю платы, чтобы они полностью входили в гнездо. Также убрал схему подключения по NEMO-BUS - вместо неё сейчас использую старую схему из Спектрофона-20, без блокировки IORQ. Дополнительно также заменил процессор на оригинальный Zilog, а то мой КР1858ВМ1 в последнее время стал барахлить и ни с того, ни с сего выкидывает в 48к Бейсик. С оригинальным процем пока что косяков не замечено;) В дальнейшем планирую поставить переключатель дешифрации расширенной памяти 256к с D4 1FFd(Скорпионовский вариант) на D6 7FFd(Пентагоновский вариант) и обратно - там всего 2 провода;)
И, наконец, пора уже для моего спека соорудить корпус))

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 марта 00:32:45 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Андрей Семенов, удачи в сборке! Если есть сомнения в правильности разведения платы, то следует все спорные узлы прозвонить и сверить со схемой.

Ivan Gagis, что старые, что новые ТВ, нормально воспринимают сигнал с одинаковыми полукадрами. Проблема тут в длине синхроимпульса. У «Ленинграда» он слишком длинный. Надо исправлять, например, так:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p560

Михаил, Секам-кодер нужен для создания композитного ТВ сигнала, а при подключении через СКАРТ сигналы RGB должны идти напрямую без всякой кодировки (ну, только обычно усилители ставятся на транзисторах). Судя по помехам на фото, фонит что-то. Можно попробовать использовать хорошо экранированный кабель. А источником помех может быть что угодно, от блока питания, до, например, работающего неподалеку кондиционера и т.п. )

Александр, когда много периферии на шине данных, некоторые процессоры просто не держат нагрузку. Соотв. тут , да, помогает либо замена процессора, либо установка буфера на шину данных, а иногда и на адресную шину, но это уже крайний случай )

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 11 марта 06:53:45 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Доброго времени суток. Хочу представтить вам мою новую разработку - звуковая карта ELECTRO-SOUND. Представляет из себя микшер из чипа AY(YM), чипа ВВ55 по схеме Профи(STEREO COVOX) и звукового канала биппера, который берётся с платы Спектрума, плюс регулируемый предусилитель на двух транзисторах. Вот схемы версии 1.0 и 1.4, сделанные в Sprint Layout:
V1-0
V1-4
Изначально я спроектировал схему на основе старой схемы моего звукового чипа. Схема 1.0 была сделана для двухсторонней платы, по упрощённой дешифрации на ЛА3 и ЛИ1. Для ковокса схему брал отсюда: http://zxpress.ru/article.php?id=9750

Версия 1.4 - это четыре доработки схемы 1.0:

Во-первых, никакой упрощённой дешифрации с ЛА3 и ЛИ1. Теперь сигналы BC1 и BDIR для чипа AY будут браться непосредственно с компа, с выхода дешифратора портов #FD.

Во-вторых, исправлена ошибка в усилителе - добавлены резисторы между базами транзисторов и питанием +5в.

В-третьих, выходной разъём сделан на цанговой дорожке - это нужно для того, чтобы обеспечить вывод звука не только через гнездо 3.5, но и через разъём SCART, к примеру;)

В четвёртых, никакой двухсторонки! Вся схема 1.4 спроектирована исключительно на одностороннюю плату, что позволяет её вытравить обычным ЛУТ-методом и не возиться с активатором, термообработкой и гальванической ванной.

Также дополнительно, на чип ВВ55 не подаётся сигнал RD. В теории это значит то, что он будет работать только на выход звука через ковокс. Но это не точно;)

Полезный комментарий + 3 | Бесполезный комментарий - 0
понедельник, 16 марта 21:44:57 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Damask
Привет, всем!
Нужна ваша помощь!
Непонятно по какой причине начал глючить спектрум(Orizon-Micro). Ранее была подключена звуковая плата которая не работала. Скорее всего по причине неправильной логики. Были использованы HD74HC00 и HD74HC02 вместо К555ЛЕ1 и К555ЛА3. Я нашел нужные микросхемы и заменил на необходимые, но не успел проверить т.к. ПК начал глючить. В итоге плата была отключена, но это не помогло. Замена процессора так же не помогла.

Видео как глючит
https://youtu.be/8jE-eP3sjnM

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 марта 18:56:17 2020 | ссылка на пост    
Сообщений: 4
Автор: Александр
Доброго времени суток. Нашёл схему буферизатора шины данных: https://zxpress.ru/article.php?id=13553 (2 схема)
Думаю сделать её на одной плате с процессором Z80, чтобы вместе с ним подключать к основной плате через DIP-панель.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 марта 22:23:09 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: АЛЕКСЕЙ E-mail: TERROR-FIRE@yandex.ru
Требуется помощь в реанимации платы Ленинград 48.
TERROR-FIRE@yandex.ru

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 22 марта 21:32:34 2020 | ссылка на пост    
Автор: Ivan Gagis
Сегодня прислали китайский адаптер SCART->HDMI. Попробовал через него подключить Ленина к монитору.
Как ни странно, картинка стабильная, не прыгает как на телевизоре, но есть ряд других проблем:

1) картинка чернобелая
2) плохая четкость
3) звук только из правого канала, хотя в SCART-кабеле левый и правый входы у меня закорочены
4) звук какой-то писклявый, мало низов

Кто-нибудь пользовался такими адаптерами? Поделитесь своим опытом.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 25 марта 15:08:04 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Разобрал этот конвертер, а там RGB со скарта не разведено вообще, поддерживается только композит, теперь всё ясно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 26 марта 01:04:22 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Если нужен конвертер SCART-HDMI, то лучше брать такой: https://aliexpress.ru/item/32858555968.html?spm=a2g0s.9042311.0.0.57f933ednLCi04 Дорого, но зато надёжно;) Сам брал для PlayStation-2. Картинка идеальная, RGB видит полностью))
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 27 марта 22:54:11 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Александр
Ага, как раз заказал такой, жду.

Ещё есть такой вопрос... У меня в Ленинграде, как я уже писал, стоял кварц на 13 МГц и счетчик был сконфигурирован как будто для 13.5 МГц кварца. При этом картинка была почти посередине экрана, т.е. бордер был симметричен слева и справа.

После того как я поменял кварц на 14 МГц и настроил счетчик на 14 Мгц, и добавил 200 пФ конденсатор картинка сдвинулась влево, то есть теперь стало шибко заметно что бордер справа шире чем слева.

Можно ли это как-то исправить? Там ещё какой-нибудь счетчик подкрутить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 29 марта 04:35:42 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
согласно вот этой статье:
http://zxbyte.ru/leningrad_upgrade.htm#2

при 14 МГц центровка должна быть самая лучшая, но в моем случае это почему-то не так...

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 29 марта 04:40:41 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Ivan Gagis
Не знаю. У меня, в принципе, тоже системный экран чуть-чуть влево сдвинут, вот я ввёл команды BORDER 5, PAPER 6, для наглядности:
image
Настраивал счётчики по той же схеме с zxbyte. Кварц ровно на 14 МГц стоит.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 2 апреля 15:07:28 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
P.S. Спаял я наконец-то плату ELECTRO-SOUND v1.4. Хотя после ещё нескольких доработок её можно уже назвать v1.7, т.к. пришлось дорабатывать схему выходного усилителя. Вот его конечная схема со всеми доработками:
DSC-0280
1. Для согласования уровней сигналов нужно дополнительно в параллель с входными конденсаторами ставить диоды 1n4148(кд522), если не поставить, сигнал будет перегружен.
2. Т.к. питания для транзисторов через сопротивления-регуляторы 2,2кОм недостаточно, нужно дополнительно с линии питания подтянуть резисторы 330 Ом к коллекторам.
3. Несмотря на диоды, транзисторы всё равно находятся в "возбуждённом" состоянии ;) Поэтому дополнительно ставится ООС - от коллекторов к базам транзисторов протягиваются сопротивления на 27кОм.
Только сделав эти 3 доработки, я получил качественный звук, вот, послушайте:
https://yadi.sk/d/OKwDiiiRUeKdeg
Это музыка Factor6 с демы Gemba boys - We are alive, очень чёткий музон;) Записывал в Audacity через лин.вход ПК.
Также прилагаю фотки платы:
DSC-0281
DSC-0282
На задней стороне видны диоды и резисторы - это те самые 3 доработки выходного усилка))
А сделал эту плату ELECTRO-CRUSH, т.е. Я ;)
DSC-0283

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 2 апреля 16:13:52 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Всем доброй ночи;) В общем, я давно заметил, что мой спек не совсем правильно отображал цвета, скажем, жёлтый цвет с повышенной яркостью (Bright 1) был очень сильно пересвечен и казалось со стороны, что это не жёлтый, а белый. Поэтому сегодня я весь день делал новую доработку на видеовыход и хочу вам её представить, дабы ни у кого больше не было проблем с цветами:
DSC-0284
По порядку:
1. делаем доработку видеовыхода Ленинграда с сайта zxbyte.ru (2 вариант). https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p560
2. напаиваем снизу платы на 4, 7, 9 и 12 ногу мультиплексора D36(КП11) резисторы на 1кОм и подтягиваем их к земле.
3. Меняем на выходах RGB с мультиплексора (4, 7 и 9) резисторы с 680 Ом (стандартная схема) на 470 Ом.
4. На 12 выходе, выходе яркости, после диодов, также меняем резисторы с 3 кОм(стандарт) на 1 кОм.
5. На выходе RGB, где стоят резисторы 27 Ом (стандарт), убираем их и ставим перемычки.
6. Полностью убираем из схемы диод VD4, который подмешивает сигналы RGB в синхроимпульс (или синхру в RGB, не знаю, это не точно) ;)
7. Меняем обвязку RGB-смесителя, который ранее шёл к этому самому диоду:
А) Сигнал R - c 1 кОм(стандарт) на 1,5 кОм.
Б) Сигнал G - с 470 Ом(стандарт) на 750 Ом.
В) Сигнал B - с 2 кОм(Стандарт) на 3 кОм.
8. Также на смесителе находятся ещё 2 резистора - один на 680 Ом, идёт со смесителя на 5 вольт,и второй на 1 кОм, идёт к массе(стандарт).Первый меняем на перемычку. Второй меняем на 470 Ом.
ВСЁ!
После этого у меня наконец-то появился нормальный, не пересвеченный жёлтый цвет в повышенной яркости (BRIGHT 1), который теперь можно отличить от белого:
image 2 3 4
Для сравнения, можете поискать мои старые скриншоты (я их здесь выкладывал) и убедиться в том, что пересвеченности жёлтого цвета больше нет!
P.S. Я не знаю, что ещё сказать, поэтому выложу сюда скриншот рекламной заставки в игре "Чёрный ворон" с очень важными цитатами, по моему мнению:
image

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 апреля 02:53:43 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Иван E-mail: Blackflash2009@yandex.ru
Может кто помочь есть готовая плата в порт расширения кворум бк 04 на чипе AY-3-8910 (чтобы лутом сделал детали запаял и работало) ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 апреля 18:12:28 2020 | ссылка на пост    
Автор: Александр
Добрый вечер. Ребят, помогите мне сделать переключение ПЗУ в 0-й банк памяти. Пытался по доработке контроллера дисковода сделать, чтобы от RESET работало, безуспешно. У меня в 0 банке записан MADROM 3.5.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 апреля 20:28:36 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Александр
У меня экран выглядит так:

zx-uncentered

то есть, гораздо сильнее сдвинуто влево чем должно бы.

К сожалению с РОМом помочь не могу, сам ещё не дошёл до этой доработки, мне ещё прдедстоит :)

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 апреля 13:45:42 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Попробуйте сверить настройки DD4 с моими:
Выводы 1, 15, 14, 9 - на землю, вывод 10 - на +5В. Если всё правильно, то возможно, что-то не так с кварцем. Если у вас есть частотомер, нужно замерить частоту с выхода генератора (6 нога DD1).

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 8 апреля 23:39:49 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Vlad
Добрый день. Ребят, помогите разобраться в схеме тактового генератора. Почему в двух из трех принципиальных схем, ножки 4 и 10 микросхемы DD2(1533ТМ2) не подведены к +5V ? На плате они также висят в воздухе. Но на схеме вариант 2 они подведены к +5V. На моем Ленинграде был не исправен тактовый генератор, на 6 ножке процессора , я не наблюдал частоту. После замены DD2 и добавления перемычек DD2-4-> +5V и DD2-10-> +5V
тактовый генератор заработал. Это нормально ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 9 апреля 10:12:50 2020 | ссылка на пост    
Сообщений: 2
Автор: Ivan Gagis
Александр

проверил DD4, вроде все правильно там.
У меня сделано все как в таблице отсюда: http://zxbyte.ru/leningrad_upgrade.htm#2

Для 14МГц: 9, 1, 15 ноги на земле; 10 нога +5В.
14 нога у меня тоже на земле, хотя в таблице её нету.

Однако в скане из книжки отсюда: https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

для 14 МГц: 10, 9, 14 ноги на земле; 1, 15 ноги +5В.

Кому верить?

С кварцем вроде все в порядке, вот осциллограмма с 6 ноги D1:
screenshot-192-168-1-17-2020-04-10-00-42-35

В правом верхнем углу скриншота видна частота.

И как уже писал выше, строчная и кадровая частоты тоже верные.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 апреля 00:54:12 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, самый простой вариант – вернуться к исходным настройкам с кварцем 13 МГц. Дело в том, что на плате может быть микс из микросхем разных серий (155/555/1533 и т.п.), в этом случае времянки могут сильно отличаться от нормальных.

Vlad, как правило, с входов микросхем ТТЛ, висящих в воздухе, считывается единица. Поэтому иногда при разводке платы незадействованные входы оставляют не подсоединенными. Но для надёжности их лучше все-таки заводить на +5В.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 апреля 04:33:25 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Vlad
Константин, я согласен с Вами, что логические уровни ТТЛ и КМОП микросхем отличаются. И если может быть для ТТЛ микросхемы прокатывает, оставленные висящие в воздухе ножки, то для КМОП нужно подводить питание к ножкам, так как уровень логической 1 на входе у них выше. Но вопрос остается тем же, почему эти входы является незадействованным. Ведь входы D-триггеров 4 и 10 это такие же входы, как 1 и 13.
И отсутствие тех или иных входов, переводит триггеры из делителей частоты в просто хранимую ячейку, устанавливая на выходах 0 или 1. То есть с выходов триггеров образуется не прямоугольный сигнал, а просто постоянные +5V, при отсутсвие логической 1 на входах 4 и 10

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 апреля 06:48:42 2020 | ссылка на пост    
Сообщений: 2
Автор: Ivan Gagis
Константин
Спасибо за совет, но для меня принципиально чтобы был кварц на 14 МГц, т.к. собираюсь потом подключить музыкальный чип и надо чтобы частоты были стандартные, иначе будет влиять на тон синтезируемого звука.

Вот фото моей платы:
IMG-20200410-140742

Тут видно что почти все микросхемы 555 серии. Исключения: D11 (155 серия), D7 (561), D32 (без маркировки).

То есть, я правильно понимаю, что надо попробовать заменить эти 3 микросхемы на 555 серию?
Так как найти сейчас микросхемы 555 серии может быть проблематично, можно ли попробовать обойтись добавлением каких-нибудь конденсаторов?
Какие временые задержки можно попробовать померить, чтоб понять в чем дело? (есть 2-х канальный осциллограф)

Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 апреля 14:31:40 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: tiretrak E-mail: tiretrak@mail.ru
схема италки скорее всего не верная.
на к554са3 она взята из дельта-с и отлично там работает.
https://ibb.co/zPnNKP0

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 апреля 21:46:58 2020 | ссылка на пост    
Автор: tiretrak
схема читалки скорее всего не верная.
на к554са3 она взята из дельта-с и отлично там работает.
image

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 апреля 21:50:55 2020 | ссылка на пост    
Сообщений: 3
Автор: Юрий E-mail: yura3816@gmail.com
Добрый день. Подскажите пожалуйста, схему контроллера дисковода, чтоб работал на 48 килобайтном ленинграде, не хочется расширять память, а дисковод хочется прикрутить, чтоб там например программы сохранять на дискете, в интернете в основном для 128 килобайтных машин
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 апреля 11:14:56 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, при кварце в 14 МГц тактовая частота музыкального сопроцессора будет 14/8=1,75 МГц. Для кварца в 13 МГц – 13/8=1,625 МГц. Разница в принципе незначительная.

D11 лучше заменить на 555/1533 (74LS/74ALS,) а вот D7 и должен быть 561. D32 лучше не трогать. И ещё на схеме просматриваются какие-то доработки. Например, задействован свободный элемент НЕ (D34 выводы 8,9). Чтобы подружить схему с кварцем в 14 МГц, нужно внимательно изучить плату, зарисовать все изменения и доработки, для того чтобы затем привести включение всех счетчиков к стандарту.

Юрий, существует множество схем контроллера дисковода для Спектрума. Все они построены по одному принципу. Тут в теме давали ссылки на разные варианты, например:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p345
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p352

Ну и в сети много схем есть, а также можно найти и собранные платы контроллера. К Спектруму 48К, контроллер дисковода точно так же подключается, как и к 128К. Исключение только в одном сигнале, который должен предотвращать сваливание в TR-DOS во время работы ПЗУ 128К.

tiretrak, "читалка" – это по сути АЦП. Существует множество реализаций АЦП для клонов Спектрума. Этот вариант проверенный:



Вариант из схемы «Дельта С» не проверял, но нужно сверять рисунок с реальностью, т.к. опубликованная схема «Дельты С» изобилует множеством ошибок и неточностей.

Vlad, входы 4 и 10 триггера D2 оставили в воздухе, т.к. просто не хватило места на плате для соответствующих дорожек. Ну, а триггер ТМ2 работает как делитель частоты и без подведения этих входов к плюсу.

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 11 апреля 23:36:24 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Константин
Спасибо за информацию!

Вот такие отклонения от схемы мне удалось найти:
leningrad_sch.png

Не знаю на сколько они имеют какой-то смысл... Например элемент НЕ включен как-то задом на перёд... Ещё было подозрение что диоды тоже, но у них почему-то черная полоска у анода, а не у катода как обычно. Какие-то древне-советские диоды.

Попробую отменить эти доработки, потом отпишу о результатах.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 апреля 05:04:00 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, доработки явно сделаны под конкретное подключение и под прошлый кварц. Смело можно убирать ) А маркировка у диодов разная бывает. Я никогда на неё не ориентируюсь, проверяю каждый мультиметром при установке.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 апреля 07:27:11 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Ivan GagisСудя по отклонениям в схеме, это были какие-то древние доработки видеосигнала. Как видно, производитель платы заранее хотел, чтобы не было проблем с подключением к телеку, наверное;) Насчёт диодов, с Константином согласен. Это наши, советско-русские диоды, КД522. У них чёрная полоска на аноде, а так, лучше, конечно проверять мультиметром перед запаиванием.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 апреля 11:23:36 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Кстати, у меня ЧП. Мой Ленин сгорел, я случайно замкнул пинцетом 15 и 16 ногу микросхемы D7, вследствие чего под ней дорожка от 15 к 7 ноге выгорела, после чего он вообще перестал что-либо показывать. Микросхему заменил, дорожку восстановил, всё равно ничего не показывает. Замерил сопротивление между +5в и массой - в районе 170 Ом. Куда копать, что менять, подскажите?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 апреля 11:37:46 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Александр, бывает такое. Нужно отключить все разъемы. Вынуть все микросхемы, которые на панельках – прежде всего ПЗУ, ОЗУ, Z80. Далее еще раз измерить сопротивление между массой и +5В. Сейчас вот проверил на трех платах (два «Ленинграда» и «Композит»). Сопротивление по шине питания у них 470 Ом, 800 Ом, 1К. Обычно при замыкании по питанию рвется там, где тонко ) Соотв. нужно прозвонить всю шину питания, т.е. убедиться, что питание (GND и +5В) подходит к каждой микросхеме.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 апреля 22:34:12 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Отменил эти доработки, экран стал более-менее по центру.

Вместе с этим, пропала картинка при подключении через SCART->HDMI конвертер, который RGB не поддерживает.

При подключении к телевизору напрямую картинка есть, но так же как и раньше моргает.

Подозреваю, что те доработки как-то замешивали пиксельный сигнал в сигнал синхронизации, то есть как бы композит получался.

Теперь дождусь когда пришлют нормальный SCART->HDMI конвертер с поддержкой RGB. Попробую как с ним будет работать, если картинка будет моргать, то буду делать доработку про укорочение строчного синхроимпульса.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 апреля 00:17:04 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Damask
Привет! Я писал ранее, но никто здесь не ответил.
Нужна помощь знатоков!
Непонятно по какой причине начал глючить спектрум(Orizon-Micro). Ранее была подключена звуковая плата которая не работала. Скорее всего по причине неправильной логики. Были использованы HD74HC00 и HD74HC02 вместо К555ЛЕ1 и К555ЛА3. Я нашел нужные микросхемы и заменил на необходимые, но не успел проверить т.к. ПК начал глючить. В итоге плата была отключена, но это не помогло. Замена процессора так же не помогла.

Видео как глючит
https://youtu.be/8jE-eP3sjnM

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 апреля 01:06:01 2020 | ссылка на пост    
Сообщений: 4
Автор: Александр
Проверил сопротивление на шине питания после установки счётчика ИЕ10 - 245 Ом без ПЗУ, ОЗУ и ЦП. Питание приходит на все микросхемы, как ни странно. Решил менять все микросхемы, которые формируют видеосигнал. Пока что неисправным оказался счётчик D4.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 14 апреля 02:39:52 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: алексей E-mail: artlands@yandex.ru
подскажите оригинальный
Sinclair ZX Spectrum (16k Issue 2 Motherboard)
проодит штатный сброс (красные линии вниз)
и черный экран. стабильный.
лежал лежал и долежался. был рабочим.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 апреля 22:37:10 2020 | ссылка на пост    
Автор: Денис
тут писали про плату расширения для звука.
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p656

интересно подробности узнать, схему, ссылку.

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 24 апреля 09:31:59 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Александр
Денис, доброго времени суток. Вот ещё дополнительные подробности по плате ELECTRO-SOUND: https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p666
При сборке платы их также нужно учесть.
Вот, непосредственно, схема платы с включёнными доработками усилителя: DSC-0285
Также стоит учесть дополнительные нюансы:
1. Сигнал CLK берём со второй ноги D3 ИЕ7(H1).
2. Переключатель SW3 на схеме отвечает за выбор сигнала RESET для ковокса. Я его поставил специально, согласно описанию к схеме самого ковокса, которое вместе с этой схемой находится вот здесь: http://zxpress.ru/article.php?id=9750 На выбор RESET доступны 3 варианта:
А) +5 вольт
Б) RESET с компьютера
В) Схема с конденсатором и резистором.
3. Сигнал DOS, находящийся в лог.0 при активном контроллере дисковода, необходимо инвертировать через ЛН1, прежде, чем подавать на вход схемы.
Также дополнительно прикладываю файл платы для программы Sprint Layout 6.0: https://yadi.sk/d/QMd2SN5Zeuwtaw

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 25 апреля 07:42:23 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Денис
спасибо, буду разбираться.
еще интересует, что за разъем используестся для платы? по названию у меня не находит (ОКп-КО-23-Р).
и в перечне элементов нет.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 апреля 09:58:55 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Александр
Денис, это разъём ОНП-КС-23, предварительно обрезанный до 21 контакта. Однако у него есть недостаток - он сделан под советский контактный размер 1,25мм, в то время, как плату я делал для 1,27мм. Рекомендую вместо него взять гнездовой разъём для каких-нибудь картриджей Dendy или Sega и также обрезать его до 21 контакта. Или, на крайний случай, использовать вот такие дорожки с контактами: https://aliexpress.ru/i/4000443895231.html?spm=a2g0v.search0303.3.62.14658819C7Gl7t&ws_ab_test=searchweb0_0,searchweb201602_0,searchweb201603_0,ppcSwitch_0&algo_pvid=b9ca6dcd-b2c3-4544-a659-535dbe14f875&algo_expid=b9ca6dcd-b2c3-4544-a659-535dbe14f875-9, https://aliexpress.ru/i/4000517553764.html?spm=a2g0v.12057483.0.0.37ca49d9uE77az
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 28 апреля 03:24:41 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
В общем не удержался я и не дождавшись пока придет хороший СКАРТ->ХДМИ конвертер сделал доработку про укорочение строчного синхроимпульса.

Синхроимпульс действительно укоротился.
Не помогло.
Всё так же моргает на телеке.

Зато опять стал работать плохой СКАРТ->ХДМИ конвертер.

Кстати, без конденсатора у меня была черная полоса, пришлось ставить конденсатор, но полностью полоса исчезла только с 1150пФ. С конденсатором на 550 пФ, как на схеме, на месте полосы была рябь из горизонтальных черных линий.

Теперь последняя надежда на хороший конвертер когда он придёт.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 апреля 03:42:01 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Денис
все еще играюсь с видеовыходом "ленина".
IMG-20200427-225933
только черный (синий) растр с полоской.
пробовал две версии доработки http://zxbyte.ru/, но безрезультатно. даже просто чб через мешаный видеовыход не работает.
попробую еще модификацию Алеександра, может уровни несоответствуют.

Вообще, то сам спек работает. Звук кнопок есть, команды вводятся. Есть мод для 1 ПЗУ. Магнитофонная читалка на К554СА3. Даже не помнюк к какому именно телеку он подключался (сов/импорт). Мне его давно отдали.
Комплектуха использована еще та.
IMG-20200427-230008

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 апреля 13:46:47 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: tiretrak
господа,кто сталкивался? : на телевизоре(шарп) ,по РГБ, немного бегают кадры. ленинград классический,новодельный.кварц 14.
почти все микросхемы 1533 серий. клокер на 155.
на том же телевизре пентагон показывает нормально.
куда копать?
заметил что при на включенном компьютере при прикосновении включенным паяльником к 6й ноге клокера(д1) картинка становится стабильно

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 2 мая 14:51:36 2020 | ссылка на пост    
Сообщений: 3
Автор: tiretrak
добавлю.
при подключении через коаксиальный (video)картинка стабильная

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 2 мая 15:39:00 2020 | ссылка на пост    
Сообщений: 3
Автор: Александр
tiretrakКлокер на 155? Зря! Микросхемы 155 серии потребляют очень много энергии, к тому же на частоте свыше 5 МГц они работают нестабильно. Попробуйте заменить на 1533 серию, или хотя бы на 555. Только с ними компьютер должен заработать. Если всё равно будут помехи, рекомендую применить вот эту доработку (рисунок 2): https://yadi.sk/i/fhPdPZzf3UURym
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 2 мая 19:03:39 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: piter
не подскажите изза чего на ленинграде 2 может не работать загрузка с магнитофона?? при попытке загрузить, после набора load"" сразу же выдает break и все..
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 3 мая 23:04:38 2020 | ссылка на пост    
Автор: Денис
поборол немного видеовыход.
IMG-20200505-003656 IMG-20200505-005646

вторая схема на тм2 ла1 ли1 заработала. осталось только стабилизировать результат. если бордюром моргать, то все изображение дергается.
возможно уровни сигналов выше нормы (1В, а по спецификации скарта максимум 0.7В)

ЗЫ телек на мусорке нашел. Это коцнутая матрица. для експериментов норм.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 5 мая 09:17:25 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Ivan Gagis
Денис
Удалось ли победить дёргание изображения?

Мне наконец пришёл SCART->HDMI конвертер с поддержкой RGB. Но сним тоже плохо мой Ленин работает. Возможно проблема в том же, что и у вас. но у меня изображение прыгает постоянно, вне зависимости от цвета бордюра.

Выглядит это вот так:
https://www.youtube.com/watch?v=TZATc5zYSCA

У меня сделана дработка укорочения строчного синхроимпульса по второй схеме, на ТМ2, ЛА1, ЛИ1.

Судя по симптомам, какая-то проблема со строчной синхронизацией, привязка к началу строки как-то плохо работает или что-то в этом роде.

Есть ли у кого какие идеи?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 13 мая 19:44:53 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Почти исправил видеовыход. Проблема была в том, что на 16 ногу СКАРТа я выводил SYNC, а надо было просто подать 5 вольт через резистор 150 ом, чтобы сигнал был в диапазоне 1-3 вольта.
Теперь всё работает на телефизоре. Но на конвертере SCART->HDMI почему-то слева есть черная полоса, как будто изображение чуть сжато по горизонтали и придвинуто к левому краю экрана.
Как будто этот конвертер думает, что строка начинается раньше чем надо, поэтому она длиннее, а начало её заполнено черным цветом (наверное в RGB сигнале там просто черный).
Может тут проблема в длительности строчного синхроимпульса, может его ещё короче надо сделать как-то?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 мая 15:56:58 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Да, картинака теперь как на видео из моего поста выше, но она не прыгает теперь, а просто стабильно черная полоса слева.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 мая 16:00:04 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Денис
Ivan Gagis
"Судя по симптомам, какая-то проблема со строчной синхронизацией, привязка к началу строки как-то плохо работает или что-то в этом роде."

как в инструкции по второй схеме доработки мне помог кондер на 0.01мкФ вроде:
"В моём случае глюк излечился задержкой сигнала, подаваемого на вход 4DD'.1 (ТМ2), при помощи конденсатора"

а кадровая все еще не ствбильна

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 мая 16:39:30 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Ivan Gagis
Эээ нет, это другая черная полоса. Ту черную полосу я тоже кондером устранил. А это уже другая, она не поверх картинки, а она слева от картинки, как я уже описывал. И она есть только на конвертере, на телеке все ок.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 мая 18:38:50 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Ivan Gagis, вполне возможно, что чёрная полоса - это последствия конвертации в HDMI. Попробуйте в настройках конвертера поменять разрешение экрана и режим выхода PAL/NTSC.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 мая 20:38:18 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Можно также попробовать принудительно ввести чёрный сигнал на выходы D36, когда они находятся в неактивном состоянии - для этого нужно каждый выход D36(КП11) подключить к массе через резисторы 1кОм.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 мая 20:44:58 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Александр
Я уже все возможные настройки конвертера перепробовал, ничего не помогает.

Какие именно выводы D36 притянуть к земле? 4, 7, 9, 12?

А у вас Ленинград с этим конвертером нормально работает? Используется ли у вас 8 вывод СКАРТа и как?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 15 мая 00:21:04 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Кстати, я правильно понимаю, что в доработке "по второй схеме" уже исправлена привязка к уровню черного?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 мая 04:56:04 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Кажысь я начинаю что-то понимать.

Согласно википедии:
https://ru.wikipedia.org/wiki/%D0%A1%D1%82%D1%80%D0%BE%D1%87%D0%BD%D1%8B%D0%B9_%D0%B3%D0%B0%D1%81%D1%8F%D1%89%D0%B8%D0%B9_%D0%B8%D0%BC%D0%BF%D1%83%D0%BB%D1%8C%D1%81

длительность гасящего импульса должна быть 12 мкс. Насинается он за 2 мкс до синхроимпульса, а заканчивается через 10 мкс после переднего фронта синхроимпульса.

На моём Ленине же картина такая:
screenshot-192-168-1-17-2020-05-17-05-28-06

видно, что гасящий заканчивается примерно через 11.5 мкс после переднего фронта синхроимпульса. Т.е. на 1.5 мкс длиннее чем надо.

Длительность строки по стандарту 64 мкс., от синхроимпульса до синхроимпульса. И на Ленине эта длительность выдержана правильно.

Получается, длительность "не гашёной" части строки по стандарту 64-12=52мкс.
На моём же Ленине она 64-(12 + 1.5)=50.5мкс.

Посчитаем отношение 50.5/52=0.97.

Я измерил линейкой ширину экрана (29.5см) и ширину изображения без правой черной полосы (28.5см). Если посчитать отношение этих длин, то получаем 28.5/29.5=0.966, примерно те же 0.97.

В общем я думаю китайский SCART->HDMI конвертер тупо считает 10мкс от переднего фронта синхроимпульса и начинает оттуда считывать строку, а на Ленине там ещё черный 1.5мкс идёт.

Телек видимо умнее и ждет конца гасящего импульса.

Теперь вопрос! Как мне укоротить гасящий синхроумпульс на 1.5мкс?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 мая 05:50:05 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Правка: "... ширину изображения без ЛЕВОЙ черной полосы..."

И да, надо не только укоротить гасящий импульс, но и "растянуть цветовую информацию на 1.5мкс. Вот уж вопрос так вопрос... возможно ли это вообще сделать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 мая 05:55:31 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Vadim
Ivan Gagis
Я делал такую доработку:
image

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 мая 15:01:01 2020 | ссылка на пост    
Сообщений: 51
Автор: Ivan Gagis
Vadim
Можно ли поподробнее про эту доработку? Что конкретно она исправляет и как она соотносится с доработкой "по второй схеме"?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 мая 22:54:42 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Vadim
Эта схема альтернатива другим графическим доработкам.
2-я схема с zxbyte у меня давала картинку с искажением даже когда удалось добиться её стабильности.
Схема sblive не понравилась, так как напрочь срезала бордюры, возможно даже, с частью рабочей области.
Использование дешифратора позволяет добиться длительности и взаимного "временного расположения" синхросигналов как на оригинальном ZX-Spectrum.
Сигнал SYNC:
SYNC-2
Длительность синхроимпульса 4,6 мкс.
SYNC-3
Нижняя часть схемы это исправление INT, его можно не делать, оставив вариант ленинграда (когда INT отстаёт от INT оригинального ZX-Spectrum приблизително на 260 мкс). Либо просто выпаять ногу конденсатора С1, идущую к сигналу BK (8 вывод DD8) и кинуть провод от 15 вывода дешифратора (тогда INT будет опережать оригинальный INT ZX-Spectrum на 32 такта ~ 4,6 мкс).

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 мая 03:45:37 2020 | ссылка на пост    
Сообщений: 51
Автор: Ivan Gagis
Vadim
Спасибо за разъяснения. Синхроимпульс у меня укоротился до 4.6мкс с помощью 2-ой схемы с zxbyte, тут все ок. Проблема в слишком длинном гасящем импульсе, как я думаю.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 мая 13:36:05 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Vadim
В доработке не только укорачивается синхросигнал, но и смещаются SS относительно BS и KS относительно BK, что и будет влиять на длительность гашения. Другими словами, мы смещаем область видеосигнала относительно синхры.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 мая 16:05:02 2020 | ссылка на пост    
Сообщений: 51
Автор: Ivan Gagis
Vadim
То есть ИД7 относится к доработке INT?
А для видеосигнала надо только задействовать DD34.5 и добавить конденсатор 47нФ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 мая 19:01:00 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Vadim
Ivan Gagis
Нет. Доработка INT это подключение цепи C2, D1, R3, DD1 к выходу дешифратора.
Доработка видео - дешифратор, один из неиспользуемых инверторов (D1 или D34 как на схеме) и конденсатор (выделены красным).

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 мая 20:24:41 2020 | ссылка на пост    
Сообщений: 51
Автор: Ivan Gagis
Vadim
Спасибо, попробую. Вот заказал ИД7, будут долго идти. А пока, было бы интересно посмотреть вашу осциллограмму с выхода VIDEO, а именно строчный гасящий импульс, как на осциллограмме из моего поста выше, если можно.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 18 мая 20:50:34 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Vadim
Ivan Gagis
Оригинальный ZX-Spectrum
zx-spectrum


Доработка. Снимал на тестовой ПЗУ (сейчас нет в наличии ПЗУ с операционной системой), поэтому возможно "выхватил" не самые удачные.

Фронтальный гасящий
front-porch
2,3 мкс * 7 МГц = 16 тактов


Синхроимпульс
sync
4,6 мкс * 7 МГц = 32 такта


Гасящий после синхроимпульса
back-porch
8 мкс * 7 МГц = 56 тактов на 8 длиннее (немного неудачно пойманная строка, этап теста с чёрно-белыми полосами на бордюре)


Видеосигнал
video-5-div1
36,6 мкс * 7 МГц = 256 тактов (этап тестирования ПЗУ текст на рабочем поле, бордюры чёрные)

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 19 мая 16:58:30 2020 | ссылка на пост    
Сообщений: 51
Автор: Ivan Gagis
Vadim
Спасибо за подробные осциллограммы!
На сколько я вижу, у вас общая длительность импульса гашения 2.2 + 4.6 + 8 = 14.8 мкс.
У меня же сейчас она 13.5 мкс.
А надо мне ещё сильнее укоротить, до 12 мкс.

Так что не думаю, что ваша доработка поможет, но я попробую.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 20 мая 02:30:28 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Hail
Перестал работать ввод программ. После LOAD"" - белый экран. SAVE работает. При выводе - полоски на бордюре как положено. А вот LOAD перестал работать. Заменил микросхему с ROM 16к - все то же самое. Проблема, получается, в железе. Может кто помочь, в чем проблема ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 22 мая 23:34:40 2020 | ссылка на пост    
Сообщений: 1
Автор: Денис
Hail
и randomize usr 1333 тоже не дает на бордюр полос?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 23 мая 20:57:17 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Pegas
Доброго всем времени суток!
Прошу помощи!
Собрал на досуге себе пентагон-128 на плате от micklab 2015 года, многократно все проверил, но запустить без наладки пока не удалось. На экране черная рамка, белый квадрат (как будто нет памяти), должна быть шахматка
На установку проца и пзу никак не реагирует, на кнопку резет тоже
Подключаю через rgbi-vga адаптер (исправен, проверен на стареньком ленинграде)
Осциллографом смотрел - генератор работает
Питание с лабораторника, выставил так, чтобы на всех микросхемах было ровно 5в, потребление около 0,9а без проца. На тепловизоре подозрительно греющихся элементов не заметил
Я не сильно в спектруме пока, только начал (в детстве был такой, решил понастольгировать). Читал в брошюре по наладке - белый экран - неправильные сигналы ras или cas, смотрел их осциллографом - ничего криминального не заметил, визуально похожи на те же на рабочем ленинграде. Подозреваю что какая-то из микросхем с браком (микросхемы все были новыми, серии кр1533 и несколько аналогов als). Прошу ткнуть направление поиска

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 мая 14:53:45 2020 | ссылка на пост    
Сообщений: 1
Автор: Дмитрий 43 E-mail: darkkvager@yandex.ru
Подскажите пожалуйста, зачем нужна доработка сигнала INT и как ее правильно сделать. У меня на плате от С2 провод идет на 12 и 8 ногу D8 . Также сделав доработку прорисовки окружностей, ставлю конденсатор 1000пф к D10-4 и на землю доработка перестает действовать. Еще если бордюр сделать черным, пиксели начинают немного дергаться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 25 мая 21:33:24 2020 | ссылка на пост    
Автор: Ivan Gagis
Дмитрий
На сколько я понимаю, сигнал INT - это немаскируемое прерывание, которое происходит в начале кадра видеосигнала, т.е. 50 раз в секунду. На Ленинграде оно вроде запаздывает на 230 мкс. от реального кадрового синхроимпулься (или опережает???). Доработка это дело исправляет в какой-то степени. Это может быть критично в каких-то хитрых демосценах.
Больше деталей не знаю.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 мая 20:28:57 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Доброго дня, Константин и Коллеги !

Решил возродить свой ZX - leningrad 48k (был в рабочем состоянии, но требовалась замена убитой кроватки - ПЗУ постоянно глючило)

После замены кроватка получил 1 проблему. Не запуск с ПЗУ и соответственно не правильный матрас:
https://yadi.sk/i/TNkMJPqYLuZt0g

По совету проверил ЛП5, ИР16, КП11 - пропаял, от первой проблемы избавился матрас в норме:

https://yadi.sk/i/xu4R3JC2HVai9g

Но старта нет. Дорожки, контакты где паял проверил уже несколько раз. Новую Кроватку 2 раза уже перепаял. Имею картинку, иногда бегут полосы по бордюру.

https://yadi.sk/i/3iASJHaAYEjuLQ

А так же при включении или сбросе щелчек в динамике. При напряжении 5 вольт, потребеление 1 ампер. Сопротивление между + и землей 187 ом. Также при снятии кроватки возможно была убрана перемычка. Т.к. стоит ПЗУ 27128 - 1990 с турбо загрузкой. Пробовал 2 варианта (какой верный подскажите) :
1 - 20 ножка на 22 замыкается - на сайте SBLive Narod:

http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/2764to27128.gif

2 - Так как на видео у Константина и совету на этом сайте, 20 ногу ПЗУ на землю (14 пин).

Заказал ТЕСТ ПЗУ - пришла 2716, соответственно отогнул и замкнул 21 на 24 и на +5. Либо ПЗУ мертвая .. Вообщем с ней простой рабочий матрас. Тест не идет.
Коллеги и Константин подскажите в какую сторону капнуть ? Заранее спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 09:28:55 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Александр
На всякий случай фото платы. Работала, конструктивных изменений не вносил:

https://yadi.sk/i/b9sE8Vp3mlzMQw
https://yadi.sk/i/b6b1fO_2P1e5Zg
https://yadi.sk/i/RlHrfyarZFRJCw

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 09:50:26 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Александр
Уберите одну из перемычек на ПЗУ либо 20 - 22, либо GND - 20.

При установке 2716 или КС573РФ2 устанавливаем со смещением 3 нога кроватки - 1 нога микросхемы. Отгибаем 21 и 24 ноги и подаём +5В.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 13:15:14 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Vadim,
все верно, так и делал. Просто не написал, что со смещением вниз делал. Перемычку с 20 ножки убирал. Результат один и тот же - правильный матрас. Или ПЗУ дохлая (обманули может)... или еще что... Спасибо, за совет !

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 17:40:50 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Прозвоните мультиметром все выводы кроватки с соответствующими выводами процессора и схемы. Возможно, есть непропай.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 19:07:35 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Да два дня с тестером и все дрожки, и обратную сторону с верхней, и на замыкание со соседними дрожками. И пропаял пол платы с левой стороны. ПО ка не трогал правую - где РУ5, D15, D16, D32 и остальные ниже и правее. Осциллографа правда нет.
Хочу спаять для ПК (через зв.карту и софт). Есть ли смысл? Правда им никогда не пользовался.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 20:45:18 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Сопротивление между + и землей 187 Ом. Это при каком условии измерения? С ПЗУ, без, оба в обоих случаях?
На ощупь есть горячие микросхемы?
Перемычки под ПЗУ и D14, D2 (проволоки без изоляции) не замыкают на дорожки или выводы микросхем под ними?
Осциллограф на звуковой для низких частот видеосигнала может и подойдёт, но изображение есть.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 21:52:22 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Сопротивление между + и землей 287 Ом.(187 ом опечатался). В обоих случаях. Горячий только процессор. Но говорят SHARP сильно греется. Теплые в основном. Перемычки сразу проверил. И палату постоянно верчу, тоже проверяю. Без замыканий. Осциллограф вроде как заработал. Интересно конечно. Попробовал по книжке (Выложена Константином Айги на сайте "leningrad-1_naladka_i_remont.pdf") проверить некоторые МС. Вроде получается. Но не все... Что конкретно глянуть в данной ситуации не знаю. ЦП проверил совпадает. Даже периоды выходят. ИР22 D32 - что то не понятное. D6 периоды немного не совпадают - критично не критично ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 31 мая 22:17:31 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Vadim
Проверяйте наличие сигналов связанных с ПЗУ. Например, чтение из ПЗУ ~RDROM.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 1 июня 00:11:56 2020 | ссылка на пост    
Сообщений: 51
Автор: Александр
Vadim, Спасибо огромное за помощь !
Проверками дошел и нашел. Очень мелкий КЗ. Ноги процессора 8 и 9. И соответственно на ПЗУ 15 и 17. Хотя ПЗУ не однократно прозванивал на КЗ, но только соседствующие ноги. Все ожил. Буду дальше шаманить. Первый шаг - добавить Кодер RGB/PAL. Затем Звук - ЧИП Ямаху ym2149f (подскажите схему сборки и подключения). И увеличение до 128 кб. Всем творческих успехов !

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 июня 11:53:10 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Денис
Поясните, как можно спалить процессор?
Для своего клона спаял коннектор для видеовыхода. Делал напрямую в скарт.
При проверке показал кубики, но уже цветные. Без ПЗУ нет матраса. Тоже самое и без процессора. Вернул взад переделки для бетадиска. Также лапша. Заменил проц и все заработало, подключил bdi с востановлеными переделками. Перенес простой унч на одном транзисторе в корпус. Прозвонил. Включаю... Опять артефакты. Чертовщина

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 июня 19:19:37 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Ivan Gagis
Денис
Возможно просто где-то плохой контакт, может кровать какая разболталась. У меня артефакты на экране были когда разъем клавиатуры дребзжал.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 июня 20:29:14 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Небольшой отчет по моей проблеме.
Применил схему привязки к уровню черного компьютера COMPOSIT из вот этого поста и черная полоса слева пропала, теперь всё ОК.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 июня 03:17:15 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Алексей E-mail: alternatet@yandex.ru
А дайте, плиз, схему как это все подключить к SVGA? Конкретно "Ленинград-1". А то телевизор домой тащить как-то...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 16 июня 14:52:13 2020 | ссылка на пост    
Автор: Ivan Gagis
<Алексей>
К SVGA без специального девайса-конвертера не подключить. Спектрум "Ленинград" выдает сигнал в формате RGB. SCART интерфейс включает в себя в том числе и поддержку RGB сигнала. Так что сейчас все подключают через скарт (гуглите подключение спектрум клонов через SCART).
На алиэкспресс можно найти девайсы для преобразования SCART/RGB в VGA.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 июня 14:35:01 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Александр
Коллеги, а Ленинград 48к без доработки до 128к может работать с музыкальным процессором AY-3-8910 (YM2149F) или же все таки нужна ОЗУ или доработка ? Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 16:36:19 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Александр
И еще вопросик по схеме:

https://yadi.sk/i/qS7YkP6BfwGZbg

10 вывод сидит на земле. А мы его должны посадить на +5 это верно ? Получаем КЗ ! И остальные пины сажаем на землю (15,1,9), а их от предыдущих направлений отрезать или оставлять ? Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 16:43:53 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Константин Айги (CXEMATEKA.RU)
Александр, «Ленинград 48k» подружить с AY-3-8910 можно. Но нужно учитывать, что большинство программ для AY требуют 128К памяти.

При настройке счетчика D4 под имеющийся кварц, входы счетчика, разумеется, отсоединяются от дорожек на плате. Подробно эта тема освещена в книжке «Ленинград-1 наладка и ремонт»:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 18:11:37 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин, спасибо.
Доработал, изображение улучшилось. Единственно, что осталось. Небольшое дублирование...

https://yadi.sk/i/8Cs0mfxA18_Y7g

Я собрал на Ямахе, подключил. По схеме:

https://yadi.sk/i/5-XjqwIIiFgOZw

Резисторы все 2,2 к. Кроме с Бипера по 10к.
Вообщем не фурычит. По тесту:

10 OUT 65533, 12
20 FOR A=0 TO 15: OUT 49149, А
30 PRINT IN 65533, IN 49149
40 NEXT A

Все столбцы 224 - конфликт с Кемпстон - как я понял.
Сделал доработку:

https://yadi.sk/i/CZ5IOMvCEP0N7A

Теперь все столбцы 255. То есть вообще вообще отсутствует чтение ym2149f.
Есть варианты, что можно еще сделать ? Спасибо заранее.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 18:42:22 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Александр
1,75 мгц CLC для Ямахи беру со 2 вывода D35 по совету. Хотя замерить нечем. У меня вместо ИР16 стоит ИР1.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 июля 19:06:20 2020 | ссылка на пост    
Александр
Сообщений: 11
Автор: Денис
если вам влом возиться с реальными дискетами и дисководами, то можно собрать эмулятор.
http://service4u.narod.ru/html/emulator.html
односторонняя плата под ЛУТ (75х105. корпус искать с учетом разъема 34р). доступные элементы. Сразу работает после сборки без наладки. перемычками назначается буква диска.
контроллер дисковода на ВГ93 все равно нужен.
Ну и образы дисков нужно конвертировать в свой формат(утилита присутствует)
IMG-20200703-233006 IMG-20200703-233135 IMG-20200703-233315 IMG-20200703-233330 IMG-20200703-233351

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 июля 10:26:33 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Юрий
Добрый день. Хочу поделиться с воими наработками, или скорее потугами. )
https://1drv.ms/u/s!Ajs6ve4gx7BfgptCDDScBo5NT0oVmw?e=EZCD1K
По этой ссылке схема и печатка для программы DipTrace https://diptrace.com/rus/ с Ленинградом. Я заказывал эту печатку у китайцев и собрал на ней комп. Но запустить его не вышло, тест говорит что-то про ошибку буфера. Доводить до ума нет времени. Может кому-то будет не лень и он возьмет эти файлы как основу для разработки или доведения до работоспособности. Обратите внимание на вот этот пост: https://www.cxemateka.ru/en/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p535
Я не помню, исправил я эти ошибки в схеме или нет, но схему я рисовал как раз с ошибочного варианта и обнаружил это уже по приходу плат из Китая. Пришлось проводами переделывать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 27 июля 21:29:22 2020 | ссылка на пост    
Автор: TRON655
Добрый день! Слегка перепутал на Спектруме полярность. Появились полосы в окошке, не в бордюре, что может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 августа 19:27:10 2020 | ссылка на пост    
Сообщений: 14
Автор: Ivan Gagis
TRON655
полосы вертикальные? фотку в студию.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 августа 22:37:50 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: TRON655
https://yadi.sk/i/Zo4EZ7mi0q_R1g
https://yadi.sk/i/XuGz_frXmtvwWA
https://yadi.sk/i/ukOm3U86_Nio5g
Привет!
Спаял Ленинград 48к тот что из Хабаровска модификация 2019 года. Всё на цанговых панельках. Всё проверил: непропаект нет, контакты на всех микросхемах есть. Всёравно не та шахматка - с ПЗУ просто белый экран и бордюр и квадрат - без надписи sinklair.
Что может быть? Микрухи все проверены.
Правда проверял на шестой ноге проца выдает 2.4 МГц. Мучаюсь который день. Не знаю начто грешить

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 19 августа 17:10:48 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Интересное дело, на шестой ноге процессора скачет от1,5 до 2.2 МГц. Менял кварцевый генератор с донора - тоже самое... Битая микросхема тм2? Или что то ещё? Почему нет 3,5 МГц?
https://yadi.sk/i/UmKMVzGWABFnSA

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 августа 01:59:24 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Если частота на 6-ом выводе процессора скачет, то наиболее вероятно к сигналу что-то лишнее подмешано. Где-то может быть замыкание, причем необязательно непосредственно на тактовом сигнале, а где-то выше по схеме.

Проверять надо от кварца:
D1 вывод 6: 14 МГц
D2 вывод 5: 7 МГц
D2 вывод 9: 3.5 МГц

P.S. Кстати, провода, которые брошены со стороны пайки, нужно стараться проводить так, чтобы не было риска прокола оплетки концами ножек деталей.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 августа 21:57:08 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Проверил на D1 вывод 6 - 1,7 -2 МГц. Причем при подключении к тв частота падает до 300 - 500 кГц.
Наостальных D2 (5) и D2(9) - 2,5 МГц и 3 МГц соответственно. Тоже всё плавает.
По совету товарища выпаял цанговые панели и установил микросхемы непосредственно на плату. Думается что D1 битая, потому как замыканий нет.
Помнится при первом включении - когда как только собрал, на 6й ноге ЦПУ был синус и 3,5 МГц - в последствии при повторном включении такого уже не было. :-(
https://yadi.sk/i/3dBY7l5shz2P4A
https://yadi.sk/i/BRDWvw9FbOHaOw

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 10:32:02 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Надо проверить напряжение питания на микросхемах D1 и D2. Должно быть не менее 5.0В.

Ну, и чтобы понять, верны ли показатели измерительного прибора и вообще как работает этот узел (связка D1 + D2A), рекомендую собрать его копию на отдельной макетной плате. Схема-то простая. На D1 собран тактовый генератор, а триггер D2A работает, как делитель входящей частоты пополам: выводы 1 и 4 D2A кидаются на плюс, 2 и 6 соединяются между собой, на вход 3 подается 14 МГц с тактового генератора, 5 – выход 7 МГц.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 15:06:53 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Напряжение на обоих схемах проверил, 5В есть.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 16:49:03 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Проверяю от кварца: d1(6) -1,5 МГц
D2(5) - набирает с 500 кгц до 6.99 МГц за несколько секунд - и стоит.
Затемпроверяю снова d1(6) - 1,5 МГц
Возвращаюсь, к d2(5) - так же набирает и стоит.
Потом мерю на d2(9) и ЦПУ 6 - тоже 1,5.
Что за мистика?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 августа 17:00:47 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Если измерительный прибор не видит 14 МГц на D1-6 и при этом на выходе D2-5 присутствует правильное значение в 7 МГц, то, очевидно, что более высокая частота просто за пределами шкалы измерений прибора. На фото вроде мультиметр Richmeters RM113D, а у него, судя по данным из и-нета, предел измерения - 10 МГц.

Нужно теперь убедиться, что на выводе D2-11 присутствует 7 МГц. Далее смотрим, есть ли 7 МГц на входе счетчика D3 (вывод 5). И проверяем сигналы на выходе счетчика D3: вывод 2 - 1,75 МГц; вывод 3 - 3,5 МГц; вывод 6 - 875 КГц; вывод 7 - 437,5 КГц.

P.S. Кстати, иногда мультиметры показывают неверное значение при измерении частоты относительно земли, но при этом правильно показывают частоту при измерении относительно плюса.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 02:25:29 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Константин! Очень сильно гуляет частота.
Проверил все ноги что вы указали - сперва не было 7 МГц на d2-5, потом появилось. Поехал проверять по списку дальше d2-11 7Мгц, d3-5 7мгц, d3-2 - 1,75 и т. д.
Но вот стоит мне дойти до конца, начинаю сначала, чтобы перепровериться, начинается - на ногах d3-3 - 1 кгц, исчезло 7мгц на d2-5 и прочее. Частоты живут своей жизнью.. Где нужно медленно поднимутся до 7 МГц с 200 кгц, потом опускаются.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 14:35:44 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Может у меня мультиметр совсем гнилой. Вот всё как работает в сборе. И когда нажимаешь reset.
https://yadi.sk/i/wJZCE84A_5YuVA

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 16:21:19 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Причем вот когда снимал видео, на d3-2 - 1,75 МГц, на d3-3 3,5 МГц, а на вводе d3-5 -2,2 кгц. Как такое может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 16:48:11 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
https://yadi.sk/i/YlkFT0t3cS4UKQ
Вот так когда всё в сборе.. Начинаю подозревать, что мультиметр полное г, в плане замера частот. С другой стороны та же самая шахматка... Может дело в узле видеовыхода?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 августа 20:20:20 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Похоже, да, измерительный прибор не совсем адекватно себя ведет.

Судя по видео, компьютер нормально запускается и работает, но отображаются только атрибуты. Мигающий квадратик – это курсор. При этом пиксели не отображаются. Пиксели формируются сдвиговыми регистрами D33, D35. С проверки этого узла и надо начинать. Кстати, на фото видно, что применен западный аналог ИР9 – 74HC165. Это не очень хорошо. Серия 74HC – это КМОП, тогда как «Ленинград» рассчитан на ТТЛ серию (у ТТЛ несколько другие уровни сигналов и временные показатели). Тут нужно использовать либо отечественную микросхему серий 555/1533, либо импортный аналог серий 74LS/74ALS.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 августа 03:26:06 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: TRON655
Заказал ир9 и всё то что у меня не наше стоит. Как приедет, перепаяю - отпишусь, что вышло.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 23 августа 16:13:40 2020 | ссылка на пост    
Сообщений: 14
Автор: Ivan Gagis
Собираюсь апгрейдить свой ленинград-1 до 128к.
У меня установлено две микросхемы ПЗУ.
Я купил 27с512 и прошил её комбинированой прошивкой из первого поста.
Память я буду использовать импортную, т.е. схема с регенерацией в 256 циклов.
Правильно ли я понимаю, что переход на 27с512 уже включается в описание доработки до 128к из первого поста? Т.е. доработку про 27с512 отсюда https://www.cxemateka.ru/v1/Other.png проедварительно делать не надо?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 24 августа 22:26:08 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
При установке 27C512 на позицию D29 (вместо двух 27C64), нужно как минимум отрезать от A13 вывод D29-20 и заземлить его; вывод D29-26 соединить с A13; вывод D29-27 нужно будет отсоединить от земли, чтобы затем подать сюда сигнал выбора ПЗУ 128k со схемы доработок. Вывод D29-1 можно оставить подключенным к +5В, тогда будет использована только верхняя часть ПЗУ 27C512, без возможности входа в TR-DOS. Собственно, без контроллера дисковода эта часть ПЗУ и не нужна.

На схеме с доработками (https://www.cxemateka.ru/v1/Other.png) показано, как правильно подключить 27C512 при подключении контроллера дисковода. Там необходимо сделать небольшую логическую обвязку, чтобы избежать конфликта TR-DOS и SOS 128k (Стандартная ОС для «ZX Spectrum» 128k).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 августа 02:54:34 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Спасибо за разъяснение, буду делать.
Кстати, в комбинированой прошивке нулевой банк пустой. Почему бы не поместить туда какой-нибудь системный тест, и сделать возможность выбора 0-ого банка? Не то что бы мне это было очень надо, но просто, чего месту зря пустовать...

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 августа 12:56:16 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Денис
"и сделать возможность выбора 0-ого банка? Не то что бы мне это было очень надо, но просто, чего месту зря пустовать..."

попробовать Gluk reset service
https://zxpress.ru/article.php?id=10295

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 августа 14:27:08 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Ivan Gagis
ПЗУ 28с512 установил, по крайней мере прошивка 48к заработала.
Теперь надо увелисивать память.
В связии с этим вопрос.
В первом посте есть схема регенерации в 256 циклов, там указано, что нужно 6 доп. микросхем. (https://www.cxemateka.ru/v1/128k.png)
А так же есть план соединений при регенерации в 256 циклов, там указано, что нужно 7 доп. микросхем. Чему верить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 августа 21:48:18 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
7-я микросхема – это дополнительный логический элемент ИЛИ (eD7 ЛЛ1 по схеме https://www.cxemateka.ru/v1/Other.png). На нём как раз выполнена обвязка, исключающая конфликт TR-DOS и SOS128K. Если контроллер дисковода не будет устанавливаться, то, как ранее уже обсуждалось, этот элемент можно опустить, соединив сигнал выбора ПЗУ 128К (eD1-12) с выводом D29-27 напрямую (предварительно отрезав его от земли). Соотв. шаги под номерами 14,15,16,17,18 (в плане соединений) выполнять не нужно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 августа 05:26:46 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
А, спасибо, теперь понятно, что план соединений таки включает в себя эту доработку про 27с512.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 28 августа 14:02:39 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: TRON655
Ну вот, пришла микросхема, КР1533ИР9 - заменил.. К сожалению на экране не то, что хотелось. Причем этот черный квадрат бывает с артефактами.

Не подумавши не заказал 74SL серии. Такие дела...
https://yadi.sk/i/yfIDVoKX_Se-_w
https://yadi.sk/i/06uux74HR2BOgA

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 29 августа 22:07:03 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
Чуть позже заменил ир16 d35, - всё равно такой черный квадрат. Как то странно - с 74HC165 работает некорректно - но работает, с ир9 - не работает. Может есть в чем то ещё причина?
Ставлю обратно 74HC165 - тот же белый экран с рабочим курсором. Кстати, ведь он работает жутко нестабильно и нет нет - рябь постоянная, вероятно из-за отсутствия блокировочных конденсаторов.
https://yadi.sk/i/_U56Bec3LIqADw
https://yadi.sk/i/0_o69PIru3YvvA

Я пока на место выпаяных микросхем ставлю панельки, из-за этого ещё сильнее реагирует статику - но нужно сделать начальный экран - а потом убрать всё лишнее.

Help!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 30 августа 12:12:44 2020 | ссылка на пост    
Сообщений: 14
Автор: TRON655
https://yadi.sk/i/xbJhIZ1h0D_0hQ

Вот записал небольшое видео, с работой на разных микросхемах. Вернулся к тому, что было, так как с аналогами зарубежных работает хоть как то.
На ие10 и лп5 не видно курсора и отображение бордюра нет. Ир9 - лагает сильно, но курсор есть.
Что может быть?
На все микросхемы приходит 5в, замыканий нет, процессор запускается, команды выполняются.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 30 августа 18:23:12 2020 | ссылка на пост    
Сообщений: 14
Автор: Константин Айги (CXEMATEKA.RU)
Обычно наладку начинают без микросхемы ПЗУ, но с установленным процессором и памятью. В этом случае, на экране должны быть вертикальные полосы, такие:



Что касается отсутствия пикселей, то тут нужно внимательно проверять прохождение всех ключевых сигналов в связке D33, D35, D11A.

Отсутствие пикселей свидетельствует об отсутствии сигнала INFV (вывод 10 D35). Кстати, без этого сигнала при изъятом ПЗУ, на экране будет примерно такая картинка:



Проверять надо ключевые сигналы в узле формирования пиксельной картинки. На D33-2 должен быть сигнал TI – 7 МГц (pixel clock) и 7 МГц на D35-9. Далее, нужно проверить наличие важнейшего сигнала - SCR на D33-1. Наличие сигнала на выходе D33-9, входе D35-1. Наличие сигнала INFV на выходе D35-10 и на входе D11-2.

И ещё. В бейсике Спектрума команды "BORDER 8" и "BORDER 9" считаются нелегитимными, т.к. просто нет цветов с таким кодом. Допустимые значения для BORDER: 1,2,3,4,5,6,7,0.

P.S. Правильно впаянные современные панельки, нисколько не ухудшают работу системы.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 30 августа 20:17:45 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Vitaly
Здравствуйте, Константин. Возможно ли подключение микросхемы
TMS418169DZ как динамическую память вместо кр565ру5,заземлив неподключенные контакты данных,и адреса через резисторы, так как эта микросхема 16 bit.Заранее спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 30 августа 23:02:35 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Vitaly, в принципе да. Хотя данная конкретная микросхема не самый подходящий вариант для 8-битной шины.

Я успешно подключал подобные комбинированные микросхемы памяти к Спектруму, но только с меньшей разрядностью шины. Например, две 4-х битные микросхемы MCM514256 можно использовать вместо 8-ми 565РУ7.

Делается это так. Выводы комбинированных DRAM DQ0-DQ7 соединяются с MD0-MD7 D32. Сигналы WE, RAS, и CAS соединяются с соответствующими входами DRAM. Адресные входы DRAM соединяются с соотв. адресными линиями памяти Спектрума MA0-MA7. Прочие входы DRAM должны быть настроены в соотв. с документацией к памяти, микросхема должна быть всё время в активном состоянии. Для записи в память нужно ввести дополнительный буфер на микросхеме 555/1533АП5. На входы 1,19 АП5 подаётся сигнал WE, входы 2,4,6,8,11,13,15,17 АП5 соединяются с шиной данных Спектрума D0-D7. Выходы 18,16,14,12,9,7,5,3 АП5 соединяются с DRAM DQ0-DQ7 (MD0-MD7 D32).

Ещё надо помнить, что регенерация памяти комбинированных DRAM происходит по всем адресным входам. Т.е. доработку Спектрума до 128К нужно делать по схеме для регенерации в 512 циклов, которая опубликована выше.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 31 августа 15:56:15 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: mak601
Приветствую друзья,
решил собрать ленинград 48кб.
Нашел все микросхемы серии ALS кроме 74ALS298 (КП13) и 74ALS295 (ИР16). С трудом нашел аналоги но серии LS (74LS298, 74LS295). Будут ли проблемы если использовать эти две мс AL остальные ALS?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 сентября 03:50:51 2020 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
mak601, если все микросхемы исправны, то проблем не будет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 сентября 11:40:21 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Денис
Помогите с диагностикой. У меня с матрасом проблемы. Так выдеовыход дорабатывал.
IMG-20200906-012104

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 6 сентября 01:34:11 2020 | ссылка на пост    
Денис
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Денис, надо проверять адресную шину. Очень похоже, что где-то замыкание по одной из адресных линий процессора A0-A15, или на адресной шине памяти MA0-MA7.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 7 сентября 14:10:36 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
Доброго дня.
Собрал таки и я свой Ленинград.
Матрас появился. При вставленной ПЗУ имею черный экран с бегущей моргающей строкой. Не подскажите куда копать?

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 30 сентября 16:20:38 2020 | ссылка на пост    
Автор: Вова
Константин Айги,мне понравилось как вы собрали компьютер.В интернете много похожих печатных плат.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 октября 03:35:58 2020 | ссылка на пост    
Автор: Вова
Я тоже хочу собрать такой же компьютер как у вас,но это дорого стоит.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 октября 03:45:41 2020 | ссылка на пост    
Автор: alex
Здравствуйте, видели harlequin 128k?

Что можете сказать?

Лично меня поразило что такую красоту да на крупной выводной рассыпухе в точные массогабариты оригинального английской платы 48к уместили, со всеми разьемами в нужных местах без всяких читерств с микроконтроллерами и плис.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 13 ноября 13:19:30 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
alex, про Harlequin конечно слышал, но у меня в коллекции пока такого клона нет. Вообще, когда мы говорим о клонах, то простота сборки, а также цена и доступность комплектующих – главный вопрос. В целом, клоны ZX на основе схемы Зонова («Ленинград») обычно выходят дешевле конкурентов. А те ретрокомпьютеры, что делаются на плис и микроконтроллерах, клонами, в общем-то, не являются. По сути, это хардварные эмуляторы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 14 ноября 06:33:47 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: alex
Ну, насчёт FPGA вы зря :), ведь ULA из оригинального железа это по сути однократно программируемая на заводе CPLD, а не какая то специальная заказная микросхема мультиконтроллера.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 ноября 16:58:33 2020 | ссылка на пост    
Автор: Артем E-mail: eviver@mail.ru
Здравствуйте, не можете помочь с поиском документации на клон Magic-04 или хотя бы прошивок его КР556РТ4А ? В моей брошюре по сборке zx есть информация, что это доработка для привязки к черному, и приводится текст программы, но микросхема там всего одна, а у Magic-04 их две :(
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 ноября 00:26:58 2020 | ссылка на пост    
Автор: Ivan Gagis
Привет Константин!

В ближайшее время собираюсь делать апгрейд Ленинграда до 128кб по схеме с импортной памятью с регенерацией в 256 циклов. Пока что установил ПЗУ на 27с512 с универсальной прошивкой и выбором SOS 48к.

План соединений из 0-ого поста состоит из 37 шагов.
Вопрос: можно ли разбить эти шаги на некоторые более мелкие группы, чтобы можно было выполнить несколько шагов и проверить что оно как-то включается и работает, или же надо делать все 37 шагов за раз? Боюсь накосячить или забыть что-нибудь и потом будет сложно разобраться в чем дело.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 17 ноября 01:26:09 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
alex, ULA в оригинальном Спектруме – это лишь часть схемы. Просто нынче модно делать клоны полностью на ПЛИС, даже без процессора Z80, а это уже не то )

Артем, в сети много информации можно найти по Magic разных версий. Вот тут, например:
https://github.com/UncleRus/quorum-reborn

А вообще, интересно было бы увидеть фото платы, чтобы понять о какой именно модификации речь. Что касается РТ. Эти микросхемы в штатном режиме довольно сильно греются, поэтому часто вызывают подозрения у неопытных ремонтников. Однако лучше их не тревожить зря, а только в случае стопроцентной уверенности в их неисправности.

Ivan Gagis, доработку лучше сразу собирать полностью. Обычно проблемы возникают только в случае неисправности какой-либо из установленных дополнительных микросхем. Что касается соединений, то тут просто нужно всё не спеша, аккуратно спаять. Да, и ещё нужно будет сделать коррекцию дешифрации портов ввода-вывода, что критично для нормальной работы Спектрума в режиме 128К:
https://www.cxemateka.ru/v1/128k.png

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 17 ноября 07:14:55 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий 43 E-mail: darkkvager@yandex.ru
Константин, вы как то упоминали что хотели снять подробное видео о подключении спектрум совместимых машин к телевизорам и мониторам, будет ли такое видео?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 2 декабря 23:56:38 2020 | ссылка на пост    
Автор: Александр
Приветствую, ребята! Давненько я сюда не заходил (учусь в универе заочно), поэтому времени не хватает. Но, наконец-то руки дошли у меня до ремонта своего спектрума. Вчера заменил микросхему D11 (ЛП5), ответственную за формирование композитного синхроимпульса, а сегодня мне удалось востановить обвязку "генератор-счётчики" (D2, D3 и D5 выгорели после того КЗ, из-за чего он вообще мне ничего не показывал на экране). Помимо этого я также обзавёлся наконец-то логическим анализатором, что позволило мне выявить другую проблему, до которой я дошёл. Вот она:
DSC-0002
Как видите, генератор поначалу нормально выдаёт импульсы, но затем появляются мелкие помехи, замедляющие частоту. На изображении это выглядит вот так:
DSC-0001
Склоняюсь к тому, что либо ещё осталась одна пострадавшая от КЗ микросхема, кидающая помехи на шину питания, либо сам блок питания создаёт помехи.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 декабря 16:35:05 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Мой ремонт продолжается:
http://www.youtube.com/shorts/uR_vdiXEHqI

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 декабря 19:47:48 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий 43, да, материал по этой теме готовлю )

Александр, судя по видео, отсутствует связь (или сам сигнал) между D31-12 (бит 7 атрибутов) и D11-1.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 6 декабря 05:44:30 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Привет Константин!

Спаял я апгрейд до 128к и коррекцию дешифрации портов ввода-вывода. В принципе даже что-то запустилось, но испортился видео выход.

Выглядит это вот так:










Появились какие-то градиенты на белом цвете.
У меня сделана доработка видеовыхода по схеме компьютера "Композит".
Есть ли идеи в чем может быть проблема?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 00:44:55 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, после апгрейда количество микросхем увеличилось, увеличился и ток потребления. Судя по картинке, проблема именно в нехватке мощности блока питания.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 01:05:50 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Приветствую. По совету Константина мне удалось победить проблему с Flash-генератором - диод, идущий от D31-12 до D11-1, раскололся на 2 части (он был в стеклянном корпусе и я его задел, видимо, когда менял D11). Также после того, как я удалил из схемы буферизатор шины данных на АП5 и подключил процессор напрямую к плате, большая часть глюков исчезла, в частности, комп перестал сбрасывать в Basic 48 без видимой на то причины;)
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 21:01:18 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: mykl
здравствуйте, подскажите пожалуйста, в чем скрыта проблема отображения цветов, компьютер подключен по RGB скарту к жк тв, изначально при подключении была инверсия цветов и я заменил микросхему КП14 на КП11 (на фото старая микросхема) причем такое искажение цвета наблюдается не во всех играх, некоторые работают нормально.
https://yadi.sk/i/jRWtfiuV6RlgWg
https://yadi.sk/i/5pRfTs6YzH8vZA
https://yadi.sk/i/j07bQkDXk8pa5g
https://yadi.sk/i/5xIVusBGxXlL-A

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 22:00:15 2020 | ссылка на пост    
Сообщений: 2
Автор: Ivan Gagis
К счастью, оказалось, что всего лишь оторвался один проводок. Теперь всё работает и блок питания менять не надо :). Спасибо за помошь!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 23:15:46 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
mykl
Предположу, что в этой проблеме как-то задействован атрибут яркости. Видно, что не все знакоместа искажены по цвету, а если не атрибут мигания, то остаётся атрибут яркости. Но что именно смотреть, какие сигналы, это я не знаю.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 7 декабря 23:19:17 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
mykl, проверьте полярность установки диодов, которые подсоединены к выходу D36-12 (сигнал яркости).
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 9 декабря 07:16:03 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Добрый день. Поскольку у меня комп расширен до 256к, мне хотелось бы полностью разрешить проблему с переключением половинок памяти по 128к (бит D4 порта 1FFD или бит D6 порта 7FFD). К примеру, есть демо от Gemba boys - We are alive, наотрез отказывающееся работать с битом D4 порта 1FFD(Скорпионовский вариант переключения). С другой стороны, есть игрушка 1998 года NETWALK, работающая только с этим вариантом и не понимающая вариант D6 порта 7FFD (вариант Пентагона или АТМ-Турбо). Конкретно не знаю, откуда взялся последний, насколько мне известно, Пентагонов с 256к памяти не выпускалось вообще, этот вариант я обнаружил в вот этой доработке расширения памяти:
https://hsto.org/webt/pb/l6/ca/pbl6cabavkknlwvouz7nth8gclk.jpeg
Пока что у меня для подобных случаев стоит переключатель дешифрации со Скорпионовского варианта на Пентагоновский и обратно, но всё же хотелось бы выбрать один вариант. На днях я наткнулся на вот эти две доработки для Скорпиона:
http://zxpress.ru/article.php?id=10341
http://zxpress.ru/article.php?id=11679
Как было заявлено, они позволяют запускать демки и игры, использующие укороченную адресацию портов FD, т.е. в теории, одна из этих доработок поможет мне запустить вышеупомянутую We are alive при переключении на D4 1FFD. Вопрос в целесообразности их подключения, тем более, что в обеих доработках предлагается от 6 ноги дешифратора портов ИД7 убрать сигнал M1\ и подключить 6 ногу к +5В через резистор 10кОм. Стоит ли делать одну из этих доработок или же оставить всё, как есть, с переключателем дешифрации?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 10 декабря 16:05:18 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Николай
Приветствую всех любителей и мастеров спектрума.
Собрал конструктор Ленинград-2012 (zx-kit.ru), в целом всё работает, но вот два нюанса никак не дают успокоиться. Охото добиться того самого спектрума из детства.
Всего две задачи:

1) На холодную, сразу после включения, через раз случается чёрный бардюр, вместо привычного белого. Бордюр быстро меняет разные цвета при нажатии кнопок, но опять возвращается в чёрный цвет. Выкл/вкл питания помогает, со 2го, 3го раза, а вот reset нет - после сброса снова устанавливается чёрный бордюр.
В каком направлении искать?
прошивка - стандартный Sinclair Basic 1982

2) Второй момент. Уровень записи со смартфона/простой нокии. На холодную, сразу после включения запись отлично идёт на самом минимальном уровне, после прогрева несколько часов, с трудом "схватывает" на максимальной громкости. Пробовал грузить с разных устройств.

Уважаемые мастера и мэтры спектрума, подскажите в каком примерно направлении смотреть копать. Осцилограф имеется.

С уважением.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 10 декабря 17:16:39 2020 | ссылка на пост    
Николай
Сообщений: 3
Автор: Randomize_Usr
Сообщений: 23
Автор: Константин Айги (CXEMATEKA.RU)
Александр, думаю, всё-таки с переключателем система будет стабильнее работать. Ну, а так, если время есть, то не грех и поэкспериментировать )

Николай, цвет бордюра формируется регистром D39 (ТМ9). Соотв. проверять надо наличие сигналов D0, D1, D2 на входах 13,11,6 D39; наличие устойчивых значений на выходах регистра 12,10,7, а также их связь с D30,D31. Также не мешает проверить наличие сигнала BORD2 на входах D30-10, D31-10 (соотв. по схеме 2012) .

Прохождение сигнала TAPE IN можно посмотреть осциллографом в точке D52 (561ЛН2) выход 4 или D38-11. Также надо учитывать, что при недостаточно мощном и стабильном питании, узел считывания с ленты нормально работать не будет.

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 11 декабря 10:46:32 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Артем E-mail: eviver@mail.ru
Константин, я натыкаюсь только на magic-05, а по про версию 04 инфы не нахожу. Начал изучать и рисовать схему, пришел к выводу что проблема в РТ-шках. Фоток не делал и уже не смогу т.к. уехал с того города, но свою схему (на 60-70%) прикрепляю в формате sPlan 7. РТ-шки выделил красным. https://yadi.sk/d/2fq0ARCgVpRCXg
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 декабря 05:22:43 2020 | ссылка на пост    
Автор: Александр
Доброго времени суток! Только что спаял вот эту доработку с укороченной дешифрацией, о которой я говорил ранее:
http://zxpress.ru/article.php?id=10341
После этого я убрал переключатель дешифрации, подключив расширение только на D4 1FFD (Скорпионовский вариант). Ранее упомянутая дема "We are alive" при такой дешифрации наконец-то заработала, но почему-то без звука. Запустил тест 4.30 и вот что он мне выдал:
DSC-0009DSC-0008
Задаётся вопрос - где я мог ошибиться и как это исправить, подскажите.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 декабря 15:44:00 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Перепаял схему на другую: http://zxpress.ru/article.php?id=11679
Теперь он вообще перестал запускать ОС:
DSC-0002
В чём причина?

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 декабря 18:13:06 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Александр
Проблема РЕШЕНА!!! Убрал со второй схемы выходной элемент ЛН1, подключив выход с ЛИ1 напрямую ко 2 ноге дешифратора:
image Только так все порты заработали, как надо, чип AY стал видеть и демо не виснет. И никакого переключателя не надо;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 01:27:47 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: Ivan Gagis
Привет!

Подключаю AY-8912 к своему ленинграду по вот этой схеме:


взято отсюда: https://zxpress.ru/article.php?id=11995

Всё спаял, но звука нет. Загружал игру Dizzy 5 128k. Как проверить, что процессор "видит" аигрик? Может есть какоая-то тестовая программа?

Осциллограф на ногах 4, 5 аигрика показывает напряжение чуть выше нуля, с каким-то мусором +-100mV. На ноге 1 полный ноль.

Ещё вопрос, на этой схеме надо поключиться к сигналу M1 процессора (от D2-1), но у Z80 есть только не-M1. Надо ли его инвертировать или на этой схеме М1 это именно не-М1?


Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 03:29:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Артем, а в чем собственно неисправность выражается?

Ivan Gagis, схема знакомая, я в своё время по схожей схеме AY подключал:



Сигнал M1 берется непосредственно с процессора, инвертировать его не надо. Проверить доступность AY можно этим тестом:
https://www.cxemateka.ru/ftp/test_v4.30r.tap

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 11:56:49 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
Тест показал, что AY отсутствует.

Вот скриншоты из теста, там что-то про порты есть, что я не очень понимаю.
https://photos.app.goo.gl/JaSRLgKLb2ij8q9KA
https://photos.app.goo.gl/GrxSK1WNJWDwMjDz5
https://photos.app.goo.gl/ZQLXi9jSGv9th3xu9
https://photos.app.goo.gl/xkbJ3UAiXbusGBoz5

На шине данных, например на линии D2, видна вот такая ерунда:
https://photos.app.goo.gl/WY4BrDWA9z7swTKe6

что не очень смахивает на прямоугольный сигнал. При этом комп в целом работает, Dizzy 5 загружается и работает, но звука нет.

Может у меня AY чип неисправный...? Куда ещё можно покопать, что проверить?

Закажу пока ещё пару AY-8912 чипов на всякий случай.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 17:08:15 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё один момент, 1.75МГц я снял с D3-2, а не с D35-2. На D35-2 не было никакого меандра. Хотя у меня же Ленинград-1 и согласно табличке 1.75МГц должно быть на D35-2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:07:21 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё, пины аигрика IOA0-IOA7 остаются висящими, это так и должно быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:25:05 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
И ещё один момент, Константин, на вашей схеме А13 и А15 объединяются, на моей же схеме А13 нету вообще. Может мне тоже надо А13 подвести к аигрику?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 18:31:33 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
А тем временем, я подкинул А13 через И-НЕ с А15 и инвертированием, как на схеме Константина. Это не помогло никак, ничего не изменилось.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 13 декабря 23:48:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, схема, которую я выше привел, рабочая (проверено на практике неоднократно).

Сигнал на шине данных так и должен выглядеть на осциллографе.

Тут действительно, либо что-то не так с подключением, либо чип неисправен. Пины IOA0-IOA7 не используются. Рекомендую внимательно проверить правильность подключения выводов AY-3-8912 (DIP28), включая входы питания:
https://www.cxemateka.ru/ftp/generalinstrument_ay-3-8910.pdf

И да, тактовый сигнал нужно брать со второго вывода D3 (сигнал H1). Там на схеме, видимо, имеется в виду другая нумерация корпусов (схемы-то разные были для "Ленинграда-1").

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 14 декабря 11:30:51 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Ivan Gagis
В общем, я вместо AY-3-8912 припаял AY-3-8910 и он обнаружился тестом. То есть похоже, что мой 8912 неисправен.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 01:13:00 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: otrazhenie E-mail: otrazhenie1972@mail.ru
Доброго дня.
Настроил свой Ленинград, расширил память до 256к...теперь борюсь с контроллером дисковода....запутался с контактами...в частности к сигналом /NMI. На классической схеме контакт /NMI (вывод 17 Z80) процессора соединен с выводом 25 и через резистор на +5В. Куда мне соединять
/NMI от контроллера дисковода?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 11:23:32 2020 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, надо аккуратно перерезать дорожку, соединяющую NMI и BUSRQ так, чтобы BUSRQ остался подтянутым к плюсу резистором R6. Сигнал NMI желательно подтянуть к плюсу дополнительным резистором 10К. Далее соотв. сигнал с контроллера дисковода соединяется непосредственно с NMI (Z80-17).
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 13:14:30 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Добрый день. У меня встал новый вопрос по поводу использования сервисных прошивок, записанных в 0-й банк памяти. Для контроддера дисковода Nemo FDC есть доработка, позволяющая выходить в этот банк по кнопке Reset. Но пока что мне удачно удалось записать в этот банк и запустить только лишь Test 4.30. Небезизвестные MADROM 3.5 и Mr. Gluk Reset Service отказываются работать на моей машине. К примеру, MADROM после включения ПК и нажатия Reset выдаёт мне вот такую шляпу:
image
Вопрос в том, как это исправить?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 декабря 15:58:22 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: otrazhenie E-mail: otrazhenie1972@mail.ru
Спасибо!!! Заработало....НО...пишет No Disk. Диск раскручивается, лампочка моргает. Дисководы и дискеты менял...везде одно и то же.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 07:43:38 2020 | ссылка на пост    
Автор: Александр
otrazhenie надо смотреть, как идут линии выборки дисковода с контроллера "DS0","DS1" и "Motor"(10,12 и 16 пины, соответственно). В крайнем случае, после того как вошли в TR-DOS, нужно сменить букву дисковода с A на B (команда *"b" ENTER). Если не помогает, нужно в самом дисководе сделать перепайку, чтобы он читался, как дисковод А(благо во многих дисководах есть перемычки на этот случай). Или же использовать эмулятор, вроде моего SDHxC Floppy Emulator.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 11:37:58 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: otrazhenie
это что за железка?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 15:53:03 2020 | ссылка на пост    
Сообщений: 10
Автор: otrazhenie
https://www.cxemateka.ru/u/otrazhenie
собирал по этой схеме навесным монтажом. Вместо узла с РТ4 взял узел от пентагона. Не пойму как вставлять картинки

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 16:25:14 2020 | ссылка на пост    
Сообщений: 10
Автор: Александр
otrazhenie Это схема контроллера дисковода. Вообще, схем подключения ВГ93 к Спектрум-совместимым компьютерам, равно как и самих контроллеров, было достаточно большое количество. Я лично использую один из самых надёжных и ходовых контроллеров - NemoFDC в связке с вышеупомянутым эмулятором дисковода. Правда пришлось повозиться с организацией разъёма ZX-BUS для этого контроллера, но оно того стоило;)
P.S. Картинки можно загружать через этот сайт:
https://ru.imgbb.com/, а затем просто копировать и вставлять сюда HTML-код миниатюры со ссылкой.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 декабря 19:07:45 2020 | ссылка на пост    
Александр
Сообщений: 121
Автор: otrazhenie
Спасибо за наводки и подсказки! На этапе выбора мне почему-то попадались только наборы контроллера от NEMO. Но уже все спаяно и перепаивать по новой лениво. Буду настраивать что есть. Эмулятор для меня сейчас дороговат....так что ....зато есть куча дисководов. В общем буду делать на том, что есть.
https://ibb.co/yB7PRDg

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 17 декабря 07:48:28 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, судя по фото, неправильно подключен дисковод. Шлейф, соединяющий контроллер и дисковод, должен быть один к одному, без перевернутого фрагмента. Для контроллера PC это нормально, для контроллера на ВГ93 - недопустимо.

Александр, в Спектруме вся память разделена условно на банки по 16К, как странички ОЗУ, так и странички ПЗУ. При прошивке ПЗУ, важно не попутать сегменты местами. А какой сегмент должен быть где, зависит уже от схемы подключения ПЗУ. Соотв. наиболее частые ошибки при прошивке, это несоответствие расположения сегментов со схемой, а также не стоит забывать, что SOS 48K для Спектрума 48K (MD5: 4c42a2f075212361c3117015b107ff68) отличается от SOS48K для Спектрума 128К (MD5: 6e09e5d3c4aef166601669feaaadc01c). Рекомендую перед прошивкой скомпонованной версии ПЗУ, проверить её на каком-нибудь эмуляторе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 18 декабря 12:56:53 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Николай
Друзья, а замена каких отечественных микросхем в Ленинград-2012 (на импортные аналоги) может повлиять на общую производительность компа в играх?

Припоминается, что вроде как пошустрее шли некоторые игры, в "Ленинграде" из детства.

БЛАГОДАРЮ!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 20 декабря 06:47:12 2020 | ссылка на пост    
Николай
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Николай, от марки микросхем зависит не производительность, а лишь стабильность и адекватность работы системы.

У версии «Ленинград-2012» два режима работы. Устанавливаются они перемычкой J10:
1. Режим совместимости со старыми играми - временные диаграммы оригинального «ZX Spectrum», режим «медленной» памяти и порт атрибутов #FF. Бордюрные эффекты на месте.
2. Режим совместимости с «нашими» программами - отключение «медленной» памяти, времянки «Pentagon-128».

Соотв. в режиме времянок «Пентагона» система должна работать быстрее. Проверить, правильно ли работают эти режимы, можно при помощи известного теста:
https://www.cxemateka.ru/ftp/test_v4.30r.tap

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 20 декабря 15:10:43 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
Константин, насчет шлейфа я в курсе. ПЗУ брал с Вашего сайта где TR-DOS в банке1
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 07:59:47 2020 | ссылка на пост    
Сообщений: 10
Автор: otrazhenie
недопустимо - значит выгорает ВГ93?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 08:20:11 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, ВГ93 в этом случае не пострадает, но дисковод нормально работать не будет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 14:48:33 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
нашел обрыв...дисковод стал откликаться. Лампа на дисководе зажигается...но все равно выдает no disk. Сигналы DS0 DS1 присутствуют. На одном 0 на другом 1. Мотор включает и сразу останавливает. Может контроллеру надо указать что у меня дискеты 3.5 дюйма?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 16:26:17 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
otrazhenie, для нормальной работы с 3-х дюймовыми дискетами, нужно на них заклеивать окошко, определяющее плотность записи, т.к. контроллер на ВГ93 не работает с дисками объёмом более 720 кб.

Окно плотности записи находится в углу дискеты – квадратное окошко, без защёлки. Заклеивать надо непрозрачным материалом. Например, как-то я пробовал заклеивать стандартной синей изолентой – не сработало. А с чёрной изолентой проблем не возникло.

Да, и ещё, как выше уже говорилось, стоит проверить, как система реагирует на смену дисковода соотв. командой:
*"b" – выбор дисковода Б
*"a" – выбор дисковода A

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 21 декабря 18:13:43 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
на выбор дисковода система реагирует. Дисковод выбирается. Какие-то реагируют на "а" там перемычка перепаяна, какие-то на "b". Тут все работает.
Про окошко не знал....попробую сегодня.
....а при старте дисковод не должен двигать головой туда-сюда?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 декабря 11:38:02 2020 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
> ....а при старте дисковод не должен двигать головой туда-сюда?

Поведение дисковода будет зависеть от версии TR-DOS. Но в любом случае, на команды RUN, CAT, LIST дисковод отреагирует как минимум перемещением головки на трек 0 (если головка там уже не находится, разумеется).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 декабря 20:23:22 2020 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: otrazhenie
никак не могу победить "no disk". Дисковод откликается на А. При обращении лампа на нем загорается, двигатель запускается, головка шуршит.
контроллер паял из двух схем. первую я выкладывал, выбросил из нее ПЗУ и узел формирования /NMI и /ROM. Его взял из схемы С-48. В TR-DOS заходит, значит он отрабатывает. В моей схеме нет ФАПЧ, но думаю это не должно сказываться на работе.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 24 декабря 14:04:51 2020 | ссылка на пост    
Сообщений: 10
Автор: otrazhenie
на выводе 33 ВГ93 уровень 1 всегда...и на адресных входах выв 5 и 6 размах в районе 4В. Вроде не критично...
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 24 декабря 15:37:25 2020 | ссылка на пост    
Сообщений: 10
Автор: Николай
Константин,
подскажите где можно почитать про упомянутые Вами сигналы (не нашёл функции цитирования).
Благодарю!


Николай, цвет бордюра формируется регистром D39 (ТМ9). Соотв. проверять надо наличие сигналов D0, D1, D2 на входах 13,11,6 D39; наличие устойчивых значений на выходах регистра 12,10,7, а также их связь с D30,D31. Также не мешает проверить наличие сигнала BORD2 на входах D30-10, D31-10 (соотв. по схеме 2012)

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 25 декабря 13:55:07 2020 | ссылка на пост    
Николай
Сообщений: 3
Автор: Vladimir
Добрый вечер!
видео супер!!! золотые руки и умная голова!!!
вспомнил детство как гоняли Dizzy с соседом...

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 26 декабря 18:43:29 2020 | ссылка на пост    
Сообщений: 1
Автор: eskoptev
Пытаюсь собрать Ленинград 48к. У меня ест два кварца на 14 и 14,318 МГц. Какой лучше поставить. Судя по схеме для кварца 14,318 МГц переделки не потребуется.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 29 декабря 09:19:16 2020 | ссылка на пост    
Сообщений: 3
Автор: Ivan Gagis
eskoptev если есть кварц на 14 МГц ровно, то почему бы не поставить именно его, ведь такой и требуется для наиболее точного совпадения частот видеосигнала со стандартными.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 29 декабря 23:10:23 2020 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Ivan Gagis
Приветствую!

Пришёл наконец новый AY-3-8912 чип. Вставил его, вроде работает, но звук какой-то с дисторсией.

Вот заснял видео со звуком: https://www.youtube.com/watch?v=Z-PtlMsXjJA

На видео игра Dizzy 4.

Может ли это быть плохой чип AY? Маркировка чипа ровно вот такая: https://siteimgs.com/10018/item/ay-3-8912-sound-generator_728-0.jpg
Причём предыдущий, неисправный чип был фирменный от Microship, по крайней мере согласно маркировке. На ютубах рассказывают про поддельные чипы https://www.youtube.com/watch?v=k72SFBOZ_lw

Подключал к скарту по вот этой схеме: https://www.cxemateka.ru/i/ay_beeper_scorpion.png

Ещё, заметил, что есть какая-то проблема с видео сигналом на границе смены аттрибута цвета. Например, на видео у диззика левый глаз потёк и левая часть рта размыта. Что бы это могло быть...?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 5 января 04:27:14 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: OZZY1976
Привет всем!Кто нибудь может помочь с запуском краснодарского клона zx spectrum?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 9 февраля 22:37:50 2021 | ссылка на пост    
Сообщений: 8
Автор: Игорь
Доброго всем дня! Решил вспомнить молодость и собрать свой первый Спектрум. Нашёл плату Ленинграда и комплект деталей, сборка прошла успешно, комп запустился. НО интересный момент: память на м/с TMS4164-10NL, успешно протестированная на "Специалисте", на Ленинграде выдавала ошибки. Проверено было два комплекта микросхем. А вот наши РУ5Г запустились без проблем. Чем это может быть вызвано? И ещё один вопрос: Не мигает курсор, проскакивает по курсору тонкая полоска и всё. Команды вводятся правильно. В чём может быть неисправность?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 12 февраля 18:44:31 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Александр
Добрый день. Восстанавливаю клон "Байт" (zxbyte.ru). На данный момент имею такую картинку, не подскажете куда копать?
https://disk.yandex.by/i/8AXPX-MFzQ3LoQ
https://disk.yandex.by/i/IjfNNiHd6XZoMA

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 14 февраля 12:04:36 2021 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
Николай, вот тут ссылка на книжку с описанием схемы «Ленинграда»:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Надо помнить, что «Ленинград 2012» имеет некоторые отличия от классической версии, но большинство сигналов идентичны, соответствия можно отследить по схемам:
https://zx-pk.ru/threads/17338-leningrad-2012.html

Ivan Gagis, на качество звука AY влияют сразу несколько факторов:
1. тактовая частота должна быть стабильна - 1,75 МГц
2. источник питания должен быть достаточно мощным, что важно
3. чип должен быть качественно запаян или если он в панельке, контакты должны быть надежными. Я неоднократно исправлял проблемы со звуком AY, просто почистив спиртом контакты извлеченного из панельки чипа.

Что касается, проблем с изображением, то это легко лечится установкой дополнительных конденсаторов. Речь собственно об известном баге с прорисовкой дуг и окружностей. На этой схеме показано, как это лечить:
https://www.cxemateka.ru/v1/Other.png (обычно устанавливается дополнительный конденсатор порядка 1000 пФ на D10-4 к земле, и RC-цепочка 220 Ом + 360 пФ в разрез между D34-10 и D33-1).

OZZY1976, ничего особо сложного в оживлении краснодарского клона быть не должно. Это клон с раздельным полем памяти. Основная память на РУ5, видеопамять на РУ6. Проверка работоспособности тут по стандарту. Сначала подаём питание и смотрим, есть ли тактовый сигнал на 6 ноге процессора. К ТВ можно для начала подключить по композиту (разъем видео "тюльпан"), картинка будет черно-белой (плата «Краснодара» выдаёт соотв. видеосигнал).

Игорь, да, любопытно. Обычно импортная память работает стабильно в наших клонах. Цитата из книжки «Ленинград-1 наладка и ремонт»: "При использовании микросхем 565РУ5 с разными буквами (Б, В, Г) возможно появление сбоев в работе. Одной из причин этого может служить различие во временных характеристиках микросхем ОЗУ. В этом случае большую роль играет сигнал RAS, а именно его форма и задержка. Практика показала, что последовательное включение в цепь резистора сопротивлением 300 Ом наиболее приемлемо для обеспечения устойчивости работы ОЗУ". Т.е. в теории можно поэкспериментировать с задержкой сигнала RAS, хотя лично я так никогда не делал.

Если курсор не мигает, то тут очевидно присутствует проблема с сигналом FLASH. Надо проверять правильность установки диодов D2, D3, резистора R4, а также наличие соотв. сигнала на выходе счетчика D7-11.

Александр, похоже на проблему с памятью или видеоконтроллером. Что именно сбоит легко проверить при помощи теста памяти, например этого:
http://www.cxemateka.ru/v1/test48k.bin

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 10:18:49 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин Айги, спасибо за ответ. Память в норме. Проверял тестом. Загрузил игру. Сбоит видеоконтроллер. Проверил все микросхемы в видеоконтроллере, все рабочие. Есть подозрение на счетчики. Выборка наверно происходит не в то время. Может быть такое?
https://disk.yandex.by/i/mqtuySBygKMTxg
https://disk.yandex.by/i/rSPVIynBy2iuYA

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 11:00:53 2021 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
Александр, особое внимание тут надо обратить на связку DD28 (ИР27) + DD31 (ИР10). Пиксели защелкиваются регистром DD28, затем сдвиговый регистр DD31 попиксельно выводит изображение на экран согласно тактовому сигналу (pixel clock - 7 МГц). Если есть осциллограф или логический анализатор, то тут следовало бы проверить наличие сигналов на выходах регистра DD28. Также надо проверить pixel clock – вход 7 DD31. Не исключено, что к нему что-то лишнее подмешано. Аналогично нужно проверить сигнал, управляющий регистром DD28 вход 11 (также стоит проверить на замыкание с чем-то посторонним).

Всё по этой схеме:
http://zxbyte.ru/doc/byte_scheme.zip

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 13:14:28 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Этими схемами и пользовался, спасибо. Есть и анализаторов и осциллограф. Есть рабочий вариант, но с расширением до 128к. Сигналы сравнивал на DD28 и DD31, совпадают с рабочим. Изначально вообще DD31 была не исправна, матрас был из сплошных синих линий. Я заменил DD31 и теперь изображение появилось. Различие в сигналах с рабочим образцом я нашел в выходах счетчика DD3. На рабочем образце меняется иногда ширина импульсов на выходе 12. У меня нет. Сигнал PE на счетчике присутствует. Есть еще и третий не рабочий, так у него такая же ситуация. Точно такой же сигнал на DD3(11) и матрас однобокий. Только при запуске лини не черные а желтые. По этому и пали мои подозрения на DD3, хотя на первый взгляд частоту он делит верно, всегда на 2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 14:27:23 2021 | ссылка на пост    
Сообщений: 6
Автор: Александр
Точно, я могу анализатором посмотреть что захватывает DD28. Возможно захват происходит не в тот момент времени. Наверно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 14:49:50 2021 | ссылка на пост    
Сообщений: 6
Автор: Игорь
Спасибо за ответ, Константин. В прошедшие выходные увеличил память, поставил РУ7. Тест прошёл успешно. Проблема с курсором стала проявляться чуть по другому. Сразу после включения - всё нормально, а в течении примерно минуты мигающая область курсора начинает уменьшаться и постепенно остаётся мигать только узкая вертикальная полоска по центру курсора. И ещё через некоторое время (с прогревом микросхем) начинается небольшое подёргивание экрана по вертикали. Не критично, но раздражает)) Буду в следующие выходные копать)
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 17:22:28 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: AlexB5
Добрый день. Посмотрев ваше видео, решил собрать Ленинград. Получилось его запустить, но есть проблемка. Изображение сдвинуто на 1 знакоместо влево, символа (c) невидно, а справа черная полоса, не подскажете куда копать. Заранее спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 18:08:53 2021 | ссылка на пост    
Автор: Александр
Вот что творится на DD28. Что-то не пойму.
https://disk.yandex.by/i/_NsHfKd5_vcSnA
https://disk.yandex.by/i/uu_ipskqpEvOUg

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 19:12:49 2021 | ссылка на пост    
Сообщений: 6
Автор: Александр
По всей видимости виновник DD31, причем он не мёртв полностью. Проверял TL866II Plus, показал рабочий. А в плате оказался только на половину.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 21:14:08 2021 | ссылка на пост    
Сообщений: 6
Автор: Игорь
Проблемы с курсором и дёрганьем экрана удалось победить снижением напряжения питания до 4,6 В.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 февраля 21:20:50 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Александр, обычно достаточно просто проверить наличие сигналов на выходах микросхемы, чтобы убедиться в её работоспособности. Сам же сигнал на выходе DD28 будет достаточно сложным, т.к. тут защелкиваются данные непосредственно из видеопамяти. Если сигнал на выходе DD3 не совпадает с сигналом на рабочей машине, то тут следует проверить, верно ли настроен сам счетчик, т.е. нужно сравнить сигналы на входах счетчика DD3 нерабочей машины с рабочим экземпляром.

Ну, и самое главное, надо бы проверить видеопамять DD43-DD50 (РУ6). Даже если тест памяти проходит, это не значит, что РУ6 исправны, т.к. тут у нас раздельное поле памяти. Согласно схеме, процессор взаимодействует с линейкой РУ5, а видеоконтроллер с линейкой РУ6.

AlexB5, сначала надо проверить правильно ли рисуются дуги, окружности, например, при помощи такой команды: CIRCLE 100,100,75

Если окружность отображается верно, т.е. сплошной линией без видимых искажений и непрорисовок, тогда можно попробовать внести задержку в сигнал BORDER, установив конденсатор порядка 330 пФ между землей и выводом D13-6.

Если же окружность отображается с искажениями, тогда надо вносить задержку в сигнал SCR, как показано тут:
https://www.cxemateka.ru/v1/Other.png (устанавливается дополнительный конденсатор порядка 1000 пФ на D10-4 к земле, и RC-цепочка 220 Ом + 360 пФ в разрез между D34-10 и D33-1).

Игорь, очень похоже на проблему с тактовым генератором. Можно стабилизировать тактовый генератор, заменив резистор R1 360 Ом, на 470 Ом и установив еще один дополнительный резистор в 470 Ом, как показано тут: https://www.cxemateka.ru/v1/Other.png

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 февраля 07:43:29 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Игорь
Спасибо Константин за ответ. Генератор я стабилизировал сразу при сборке. Благо есть Ваш сайт с нужными схемами.)) У меня подозрение на D34. Под рукой не оказалось 555ЛН1, поставил 1531ЛН1. Пробую обдувать её воздухом (через трубочку, что бы не попадать на другие м/с) проблема исчезает. На днях заменю её на 555-ю. Посмотрю, что будет. Да, ещё вопрос: нет ли у Вас возможности выложить прошивку 27512 в виде wav или tap файла. Ну или скинуть мне на почту. Я был бы Вам очень признателен))
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 февраля 21:34:02 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Игорь
Чуть не забыл.. Небольшое дополнение к моей просьбе: если получится, то лучше прошивку сделать тремя отдельными частями. tr-dos, Basic-128, Basic-48
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 февраля 23:26:02 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Игорь, вот версии прошивок в виде кассетных образов:
https://www.cxemateka.ru/ftp/trdos5.5h.tap
https://www.cxemateka.ru/ftp/sos128k.tap
https://www.cxemateka.ru/ftp/sos48k.tap

Надо помнить, что эта версия прошивки sos48k.tap (с контрольной суммой MD5 6e09e5d3c4aef166601669feaaadc01c) содержит в себе модификации для Спектрума 128К, т.е. не подходит для машин с памятью в 48К.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 20 февраля 04:53:37 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Игорь
Огромное спасибо, Константин!!! А то мне кок-то надоело забивать вручную коды, да ещё на убогой клавиатуре. За неделю, в свободное время, только 4 кБайта набрал))
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 20 февраля 18:30:13 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Для этого дела есть полезная утилита, при помощи которой можно любой бинарный файл перевести в кассетный формат:

BIN 2 TAP v0.1 by introspec'14 - конвертер из формата BIN в формат TAP (Windows)

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 февраля 08:02:54 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Игорь
Ещё раз спасибо! Не знал о существовании такой утилиты. Пробовал через различные эмуляторы, ничего не получалось.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 февраля 10:01:00 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: AlexB5
Здравствуйте. Спасибо за ответ. С проблемой разобрался. Плату я разводил сам на основе схемы Вариант 2. а в ней, как оказалось есть ошибки. Плюс пару ошибок допустил сам. В частности моя проблемка заключалась в том, что на CLK КП13 я завел сигнал H3 вместо H2. В схеме Вариант 2 есть ошибки ИР22 11-нарисован инверсный WRBUF, а должен быть прямой и КП11 DD19-14 вместо V7 нарисован V3, плюс память разведена не так как в других вариантах. Сейчас борюсь с pal-coderom. И вопрос посоветуйте схему контролера дисковода без РТ4. Заранее спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 февраля 17:26:50 2021 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
AlexB5, в этой книге подробнейшим образом разобрана схемотехника контроллеров дисковода:
https://www.cxemateka.ru/ftp/bdi.zip

Ну, и тут в теме давали ссылки на вариант типовой схемы дисковода без РТ4:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p352
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p353

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 24 февраля 13:24:41 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AlexB5
Спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 24 февраля 14:26:04 2021 | ссылка на пост    
Автор: Ivan Gagis
Константин
Спасибо за совет, но это не помогло исправить проблемы с изображением. Корректировка прорисовки дуг у меня и так была сделана, но без 1000пФ на D10-4. Я добавил этот конденсатор и на экране появились вертикальные черные полосы в 1 пиксель толщиной в начале каждого знакоместа. Попробовал 1300пФ, так стало ещё хуже, черные полосы стали толще. Поставил 220пФ, полос нет, но и проблемы не исправились. В общем не знаю, наверное мне таки этот конденсатор на D10-4 не нужен.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 2 марта 20:07:05 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Сергей
Константин, спасибо за подробное руководство по тюнингу Ленинграда, все прекрасно работает. Собрал контроллер ps/2 клавиатуры, подключил муз. процессор. Дошло до подключения контроллера дисковода, приобрел б/у контроллер (схема с тремя РТ4), но не совсем разобрался как его корректно подключить к Ленинграду. Буду признателен за помощь в подключении. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 5 марта 01:04:36 2021 | ссылка на пост    
Сергей
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Ivan Gagis, возможно проблема в чём-то другом. Есть смысл посмотреть, как работает та же версия программы на каком-нибудь эмуляторе. А то вдруг проблема именно с этим релизом игры...

Сергей, ко всякому контроллеру обычно прилагалось руководство по подключению. Ну, а в целом подключаются они схожим образом. Сигналы контроллера, соответствующие сигналам процессора, подключаются к соответствующим шинам «Ленинграда». Сигнал IORQ контроллера подсоединяется непосредственно к выводу 20 процессора Z80, при этом необходимо сделать доработку, блокирующую порты Z80 во время работы TR-DOS, например, как показано тут (установка ПЗУ 27C512):
https://www.cxemateka.ru/v1/Other.png

Рекомендуется использовать именно комбинированное ПЗУ 27C512, при этом ПЗУ с TR-DOS в контроллер устанавливать не нужно.

Ссылки по теме:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p428
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p640

Подробнее о контроллерах TR-DOS:
https://www.cxemateka.ru/ftp/bdi.zip

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 10 марта 07:07:55 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Mix
Здравствуйте. Сегодня откопал в подвале спектрум.
Хотел проверить на работоспособность. Естетсвенно старинного телевизора, куда он подключался уже нет. Хотел спаять скарт кабель. Нашел ваш сайт, схемку с резисторами и транзисторами для скарта...
Вскрыл комп и обнаружил там перед гнездом ргб на плате какието напаянные резисторы... может для скарта уже не надо их паять - они уже там? И второй вопрос- на оборотной стороне платы оторван провод. Идет от ноги микросхемы, к котоиой я приложил щуп мультиметра. Куда он должен идти? И вообще, что это за 2 микрухи - нигде больше таких не встречал на фото... спасибо за ответы заранее

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 13 марта 11:45:27 2021 | ссылка на пост    
Сообщений: 6
Автор: Mix
Непойму как фо о вставить...
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 13 марта 11:56:46 2021 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
Mix, да, фото пациента нужны. Можно на какой-нибудь файлообменник выложить, а сюда ссылку.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 13 марта 16:58:50 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Mix
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
Mix, это несколько модифицированная плата «Ленинграда» (клон ZX Spectrum), версия 1992 года.

Проблема в том, что на плате отсутствуют транзисторы, формирующие правильный аналоговый видеосигнал (ЦАП).

Вот тут я подписал на фото, что там где:
https://www.cxemateka.ru/ftp/15032021a.jpg

На микросхеме 561ЛН2 собран узел чтения с магнитофона ("читалка"). Схема примерно, как на «Скорпионе»:


Или такой ещё может быть вариант:


Зачем там две ЛН2, по фото не совсем понятно, возможно ещё какая-то доработка. Провод, судя по фото, оторван от вывода 7 ЛН2 – это один из входов питания микросхемы (в данном случае земля).

Видеовыход тут формируется примерно, как на этой схеме от zxbyte.ru


Рамкой на фото я выделил ту часть, которая собрана на плате. Соотв. выходной каскад для формирования черно-белой картинки не собран. Такой видеосигнал можно подключать к телевизору по входу типа RCA ("тюльпан"). Что удобно на начальном этапе тестирования платы. Кстати, в зависимости от телевизора, возможно получение картинки напрямую от этого сигнала, без выходного каскада на транзисторе (сигнал обозначен на фото платы, как VIDEO).

Но прежде чем экспериментировать с этим видеосигналом, нужно проверить, как заведен сигнал, подписанный на фото SYNC. По фото не совеем четко видно, куда он там заведён, но складывается ощущение, что подпаян он к минусу диода (6-й вывод микросхемы ЛП5), а надо подпаивать этот провод к плюсу, как на приведенной выше схеме.

Для получения цветной картинки через разъем ТВ SCART, можно воспользоваться, например, такой схемой:


P.S. Часть схем взята отсюда:
http://zxbyte.ru/byte_connection_to_tv_and_monitors.htm

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 15 марта 02:30:35 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Mix
Спасибо за ответ Константин. Для меня это сложновато...
Сделал ещё фоток, где видно откуда идет провод с видеосигналом. И поближе сфотал магнитофонную читалку с рядом стоящей непонятной микросхемой. Не может этот оторванный провод быть от крайней ноги микросхемы читалки?
https://dropmefiles.com/GAzLu

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 16 марта 09:39:10 2021 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)

Mix, синхросигнал действительно запаян неправильно, надо к другому концу диода его подводить:



Да, видимо провод отвалился от 7-й ноги соседней микросхемы:



И поскольку на плате нет транзисторов (т.е. на выходе у нас сигналы ТТЛ уровня), рекомендую изучить эту тему:
https://zx-pk.ru/threads/30920-soglasovanie-rgb-ttl-vykhoda-so-scart-vkhodom.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 17 марта 02:03:24 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Mix
Константин, я сначала думал, что соединены были оторванным проводом 7 и 14 ноги микросхем, т.к. маркировка на них нанесена как бы зеркально... но потом посмотрел на ключи - они стоят одинаково, а маркировка нанесена по разному! Бывает такое... значит соединены были 7 ноги микросхем... с этим вроде ясно... а вот по диоду не совсем ясно - если там перепутана полярность - как же он работал тогда (видеосигнал)? Ведь я в него несколько лет в детстве играл...
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 18 марта 22:56:50 2021 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
Mix, в данном случае синхросигнал просто выведен напрямую от микросхемы ЛП5 (вывод 6). К разъему RCA для получения черно-белой картинки такой сигнал не подойдёт. Однако для подключения к ТВ через СКАРТ можно и чистый синхросигнал использовать. Но в любом случае, без согласования сигналов никак. По ссылке выше это как раз и обсуждается (согласование ТТЛ -> СКАРТ).
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 марта 04:20:50 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Игорь
Собираю контроллер дисковода по этой схеме http://s41.radikal.ru/i093/1108/28/4d1da9ab302c.jpg . Константин, не могли бы Вы подсказать, какой частоты кварц здесь используется?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 20 марта 13:51:31 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Игорь, это контроллер BDI Орель БК-08, обсуждается здесь:
https://zx-pk.ru/threads/15819-kontroller-bdi-orel-bk-08-podgotovka-k-proizvodstvu.html

Частота кварца – 4 МГц.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 20 марта 20:44:17 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Игорь
Спасибо! Я так и думал, но боялся ошибиться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 марта 09:34:47 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: fant777
Добрый день! Подскажите, пожалуйста, подойдут ли резисторы 0.25 Вт для сборки Ленинграда48к ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 марта 17:36:28 2021 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
fant777, да, такие обычно и ставят.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 марта 21:47:53 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Serge_el
Добрый день! Восстанавливаю "Байт" 128 с контроллером дисководом. По началу при включении без ПЗУ была "шахматка" вместо "матраса", оказался не исправен Z80. Заменив процессор компьютер запустился. После нескольких вкл. вкл. на экране появился черный квадрат, реакция на reset есть и сопровождается тонкими вертикальными красными полосами. Было кнопкой сброса много раз нажимал и он снова запустился, даже загрузил игру. Оставил ее в меню на некоторое время, в итоге она зависла. Подскажите куда "копать".
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 5 апреля 14:36:39 2021 | ссылка на пост    
Сообщений: 3
Автор: Mix
Константин, добрый день. Сегодня попал на радиорынок, купил резисторов для шнура. Транзисторов кт315 не нашел (тех, что в схеме). Позвонил знакомому-у него вроде есть, но он сказал, что там ещё букву надо знать- она какие то параметры по току означает. Или в нашем случае буква не принципиальна?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 8 апреля 12:41:44 2021 | ссылка на пост    
Сообщений: 6
Автор: Serge_el
Удалось починить "Байт", было много обрывов внутри платы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 9 апреля 17:13:07 2021 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Serge_el, кстати да, при ремонте старой техники проблемы с платой встречаются довольно часто. Тем более в случае с «Байтом», где плата многослойная.

Mix, в принципе любая буква подойдёт. На практике чаще ставят "Б" и "Г".

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 13 апреля 10:30:08 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дима
Доброе время суток. Копаясь в схеме Ленинград-1 нашел 2 сигнала КС и СС-т.е. кадровая и строчная синхронизация. Подскажите пожалуйста, подойдут ли эти сигналы для монитора с входом VGA?
спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 апреля 10:40:09 2021 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Дима, VGA мониторы не поддерживают частоту строк стандартного ТВ (15.625 кГц). Соотв. подключить-то можно, но картинки не будет. Вот тут эта тема подробно раскрыта:
https://zx-pk.ru/threads/27965-peredelka-vga-monitora-na-15-625-kgts.html

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 22 апреля 11:37:18 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Serge_el
Константин, добрый день. После подключения дисковода "Байт" загружается с дискет через раз,или с ошибками, очень не стабильно. При копировании с дискеты на дискету делает вид что копирует на самом деле нет. С форматированием тоже самое, идет процесс но дискета не форматируется. Контроллер BDI как первый неизвестный на сайте у Прусака: http://zxbyte.ru/beta_disc_interface_for_computer_byte.htm
Уже все проверил, даже FDD 3,5' подключил. Может что-то упустил из виду. Подскажете пожалуйста что проверить более тщательно. Есть подозрение на к555ир16. Заранее спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 апреля 22:29:35 2021 | ссылка на пост    
Автор: Дима
Спасибо, Константин!
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 23 апреля 01:12:19 2021 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Serge_el, первое дело – проверить блок питания. Если мощности БП не хватает, то стабильной работы контроллера и дисковода добиться не получится. И рекомендую использовать вместо КР1818ВГ93 импортный аналог - MB8877A. Отечественные вгшки всё-таки не очень надежны.

Дальнейшая наладка уже будет зависеть от конструкции контроллера. Рекомендую внимательно изучить эту книжку:
https://www.cxemateka.ru/ftp/bdi.zip

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 апреля 04:05:13 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Serge_el
Спасибо Константин! Буду изучать книгу. Установлен БП ATX 350W исправный. ВГ 93 планировал заменить на MB8877A хотя и есть защита от пропадания 5v.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 26 апреля 11:45:30 2021 | ссылка на пост    
Сообщений: 3
Автор: Mix E-mail: Smix81@mail.ru
Константин, я правильно ионимаю, что на схеме для скарта GND - везде это минус 5в, а также резисторы r13, r14 r15 надо соединить с минус 5в?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 2 мая 12:24:57 2021 | ссылка на пост    
Автор: Ivan Gagis
я скарт подключал к обчной земле ленинграда, без всяких минусов. Работает. Выходные сигналы всё равно только в плюс идут, у Ленинграда же нету -5 вольт.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 2 мая 13:03:30 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: Mix
https://www.cxemateka.ru/ftp/15032021a.jpg
А где в моём случае "земля"? Там, где Константин подписал "7 вывод лн2-земля"?
Мне почему то кажется, что это и еcть минус 5в

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 мая 10:08:20 2021 | ссылка на пост    
Автор: fant777
Константин, добрый день. Из этой книги: https://www.cxemateka.ru/ftp/bdi.zip какую из схем контроллера BDI Вы рекомендуете для Ленинграда-1?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 4 мая 10:42:29 2021 | ссылка на пост    
Сообщений: 3
Автор: igorwit@yandex.ru
Ленинград собран, память увеличена до 128 кБайт, музпрцессор прикручен, контроллер дисковода работает как часы, адаптер VGA тоже присутствует, но полного удовлетворения от проделанной работы нет. Хотелось бы создать небольшую коллекцию программ и игр в оригинальных форматах, что бы грузились на реальном Спектруме, а не на эмуляторах. Но я не программист, с образами ленточных файлов разобрался, перекидываю их потихоньку на дискеты. Очень помогает книга Родионова Н. "Адаптация программ к системе TR-DOS ". Но вот с образами дисков - никак (TRD, SCL). Может есть какие-то способы разобраться с такими файлами? trd2tap почему то у меня не прокатывает. И просьба к Вам, Константин, очень хочется игру "Сеймур на диком западе" в tap формате, желательно с "простым" загрузчиком
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 7 мая 21:58:59 2021 | ссылка на пост    
Автор: Игорь
енинград собран, память увеличена до 128 кБайт, музпрцессор прикручен, контроллер дисковода работает как часы, адаптер VGA тоже присутствует, но полного удовлетворения от проделанной работы нет. Хотелось бы создать небольшую коллекцию программ и игр в оригинальных форматах, что бы грузились на реальном Спектруме, а не на эмуляторах. Но я не программист, с образами ленточных файлов разобрался, перекидываю их потихоньку на дискеты. Очень помогает книга Родионова Н. "Адаптация программ к системе TR-DOS ". Но вот с образами дисков - никак (TRD, SCL). Может есть какие-то способы разобраться с такими файлами? trd2tap почему то у меня не прокатывает. И просьба к Вам, Константин, очень хочется игру "Сеймур на диком западе" в tap формате, желательно с "простым" загрузчиком
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 7 мая 22:03:58 2021 | ссылка на пост    
Игорь
Сообщений: 10
Автор: Ivan Gagis
Mix
Землю можно взять откуда угодно. Если плату перевернуть там будут такие толстые дорожки. Вот они либо +5в либо земля. Опеределить можно прозвонкой на 29-ую ногу процессора.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 8 мая 02:09:56 2021 | ссылка на пост    
Ivan Gagis
Сообщений: 49
Автор: otrazhenie
Доброго дня, Кончтантин.
Дошли наконец руки до контроллера дисковода.
Пересобрал по схеме NOMO FDD, но запутался в сигналах. У меня Ленинград-1 доработанный до 256к.
Схему доработки брал отсюда: https://www.avray.ru/ru/zx-spectrum-256k-upgrade/
Схема контроллера https://zx-pk.ru/threads/30533-dorabotka-nemo-fdi.html
Как я понял сигнал /DOS с выхода контроллера надо инвертировать и подавать на 12 ногу мс5 на схеме расширения. Или снимать в вывода 9 DD14 контроллера. Но тогда у меня Ленин не грузится. Полосатый матрас.
Также не понятно откуда брать сигнал /CSROM и BLK

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 мая 10:40:08 2021 | ссылка на пост    
Сообщений: 10
Автор: danone78
все это очень конечно здорово, НО адресное пространство отведенное под ПЗУ не дает использовать его под ОЗУ. Например в кллассике оперативки 64к, но используются только 48.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 13 июля 15:11:15 2021 | ссылка на пост    
Сообщений: 1
Автор: Александр
Константин, здравствуйте. не подскажете куда копать, есть такая проблем ка, между знакоместами если меняются paper/ink возникает полоска, что может быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 16 июля 08:35:45 2021 | ссылка на пост    
Александр
Сообщений: 2
Автор: Дмитрий E-mail: reistlin-mag@mail.ru
Константин здравствуйте. Если не сложно, вышлите пожалуйста схему контроллера ХТ клавиатуры. И прошивки, если там контроллеры. Собрал Пентагон, а клавиатуры нет. Если плата есть, гербер, или рисунок. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 17 июля 20:58:31 2021 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, схемы, прошивки и описание контроллера XT-клавиатуры от Profi тут:
https://www.cxemateka.ru/ftp/profi_xt.zip

Рекомендую также изучить тему:
https://zx-pk.ru/threads/12687-nuzhna-skhema-kontrollera-xt-klaviatury-profi-v5-03.html

Александр, следует проверить сигнал SCR, а именно присутствует ли доработка для корректировки прорисовки линий: https://www.cxemateka.ru/v1/Other.png
Подробнее об этом в книжке «Ленинград-1 наладка и ремонт»: https://www.cxemateka.ru/v1/leningrad-1_naladka_i_remont.rar

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 июля 00:28:34 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Игорь, практически все старые игры и программы можно найти в кассетных образах. Дискетировались они раньше вручную, что совсем несложно в большинстве случаев. Собственно и обратный процесс тоже, как правило, достаточно прост. Однако надо помнить, что некоторые программы изначально разрабатывались под TR-DOS соотв. просто перевести их на ленту не выйдет.

А русифицированной версии игры "Сеймур на диком западе" для ленты и вовсе не было. Однако мне удалось у себя найти исходную версию игры (не сжатую и без интро), сделал из этого tap: https://www.cxemateka.ru/ftp/WILDSEYR.zip

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 июля 16:08:07 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
fant777, любая схема подойдет. Однако, по возможности, лучше избегать вариантов с РТ4. Существенного упрощения схемы они не дают, а вот энергопотребление платы возрастает, что не скажешь о надёжности.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 18 июля 16:14:13 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин Айги (CXEMATEKA.RU)
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Edward
Здравствуйте Константин!
Подскажите в чем может быть проблема с прорисовкой матраса? Плата Ленинград-1. Нет нулевого разряда в полосках, кроме последнего столбца. На MD все нормально, на ИР9 приходит.
https://b.radikal.ru/b17/2107/2a/0b33dd04b5d2.png

Тест ПЗУ отрабатывает без ошибок. И еще при отработке теста видно, что нет нулевого в выводе символов.
https://b.radikal.ru/b03/2107/25/9cd144b07b6f.jpg

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 июля 22:38:45 2021 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Edward, надо проверить сигнал SCR. Обычно вносится задержка в этот сигнал, как показано тут ("Корректировка прорисовки линий"): https://www.cxemateka.ru/v1/Other.png Если же задержка будет слишком большая, то как раз и будет подобный сдвиг пикселей вправо.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 26 июля 19:24:26 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Edward
Так и есть. Все решилось установкой RC-цепочки на ИР9 и 1000 пф на SCR. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 29 июля 22:02:07 2021 | ссылка на пост    
Сообщений: 2
Автор: eskoptev
Собрал Спектрум 48к по приведенной схеме. Пытаюсь подключить его к монитору Электроника МС 6105.01. Возникли проблемы с синхронизацией: https://drive.google.com/file/d/1lUypbz3aeQGgcQMhLjK3GLMjbuPQ_5Rj/view?usp=sharing

Кварц 14 МГц. Тактовый генератор доработан (с двумя резисторами 470 Ом). Доработок по синхронизации не делал. В этом проблема?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 19 августа 13:26:16 2021 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
eskoptev, надо приводить к стандарту частоту строк, об этом тут:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 19 августа 16:28:57 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Evgeniy
Константин Айги (CXEMATEKA.RU), на схемах https://www.cxemateka.ru/v1/Other.png представлены те же самые доработки, что и в книге?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 августа 05:29:01 2021 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Evgeniy, некоторые из этих доработок есть в книге, а некоторых нет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 августа 06:24:41 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: SergeyKCA
Добрый день!
В видеоролике и на форуме упоминаются тестовые программы Color128 и Тест_v.4.30. Ссылки для их загрузки в формате TAP или SKL предполагают загрузку с магнитофона или с дисковода.
Есть ли данные программы в формате BIN или ROM для записи в тестовую ПЗУ, что было бы очень полезно для проверки после сборки платы и установки музыкального сопроцессора?
Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 24 августа 18:58:26 2021 | ссылка на пост    
Сообщений: 8
Автор: Александр
Александр
Сообщений: 11
Автор: SergeyKCA
Добрый день!
На Ленинграде-1 (Зонов) при работе теста после смены бордюров вместо фраз на экран выводится одно или несколько чёрных знакомест в начале экрана. Цветные квадраты и перемигивающиеся полосы выводятся нормально. Судя по тому, что тест не останавливается с чёрным бордюром и нет звукового сигнала, буфер и ОЗУ исправны.
Что можно проверить?
Спасибо!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 сентября 01:07:21 2021 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
SergeyKCA, Color128 – это не тестовая, а демонстрационная программа (Song In Lines):
https://busy.speccy.cz/tvorba/sil.htm

Там есть коротенькие версии, которые легко вшить в ПЗУ, не нарушив стройность подпрограмм Спектрума. Для примера сделал такой вариант (Song in lines 1k ROM version):
https://www.cxemateka.ru/ftp/sos48k_sil1k.bin

Что касается неправильного прохождения теста, то, похоже, проблема с адресными мультиплексорами D15,D16,D17,D18. В этом случае неплохо бы прогнать длинный тест с заполнением экрана:
http://www.cxemateka.ru/v1/test48k_org.bin

Как этот тест должен проходить, можно посмотреть в любом эмуляторе, заменив основное ПЗУ соотв. прошивкой.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 1 сентября 02:41:17 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: SergeyKCA
Константин:
Спасибо!
Довольно странное прохождение теста-48 (и Ваша версия, и полная) - в первой клетке выскакивает чёрное знакоместо. Также пробовал тест Андрея Хахонова - та же картинка.
Тесты Александра Кормишина и JokeSoft идут нормально - текст отображается.
Тест PLL для AY идёт нормально - звук есть и текст по экрану идёт.
Может, конечно там другой алгоритм... В общем, странное состояние.
В любом случае, Спасибо, буду ставить D15-18 на панели и смотреть "чистые" сигналы и потом с КП11.
SongInLine прошью, посмотрю, надеюсь, хоть линии порадуют во весь экран и с музыкой ;)
Если нужно, образы данных тестов могу выложить - может, кому-то поможет. Или порадует :)

Александр:
Спасибо за версию теста ver.4.30, но там тест шьётся в ПЗУ 512 и нужно её подключение по схеме https://www.cxemateka.ru/v1/Other.png
Попробую.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 сентября 13:08:27 2021 | ссылка на пост    
Сообщений: 8
Автор: SergeyKCA
Констрантин:
Длинный тест с заполнением экрана проходит нормально - и атрибуты очищаются, и линии чертятся правильно. Квадратики правильные и полосы переливаются. Но слова "Буфер исправен" и "ОЗУ исправно" щёлкают только в первое знакоместо, а сам экран чистый, белый.
Буду ставить панельки.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 1 сентября 13:15:54 2021 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
SergeyKCA, любопытно было бы посмотреть, как выглядят эти надписи. По характеру искажения экрана можно примерно понять, в чём проблема.
Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 1 сентября 15:00:22 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: SergeyKCA
Сообщений: 8
Автор: SergeyKCA
Константин:
Добрый день!
Поставил мультиплексоры КП11 D15-D19, регистры ИР9, ИР16, ИР22 на панельки, проверил их работоспособность на тесте логических элементов в программе XGecu для программатора TL866II Plus - нормальные. Прозвонил все соединения и проверил сигналы осциллографом - всё в наличии, ни обрывов ни залипов.
Заменил микросхемы памяти 41256 на РУ5 - тест пошёл в нормальном начертании символов, но примерно через пол-минуты начинаются сбои. При установке 41256 и РУ7 эффект с выводом текста в 1-е знакоместо тот же, хотя 1-я ноги заземлены.
При замене ПЗУ на системную компьютер выходит в заставку, но тоже как-то нестабильно.
Питание 4,96 В.
Читал, что иногда необходимо задерживать сигнал RAS, идущий к ОЗУ, попробую поиграть с ним.
В любом случае, Спасибо за поддержку!

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 4 сентября 19:58:23 2021 | ссылка на пост    
Сообщений: 8
Автор: eskoptev
Откорректировал частоту строчной развертки. Пост про проблему писал здесь https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p931

Любопытно, что в книжке https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95
и на картинке https://www.cxemateka.ru/v1/Other.png по-разному предлагается корректировать частоту, те выводы D4, которые предлагается в книжке садить на плюс, на картинке предлагается садить на минус. То что в книжке идет на минус, на картинке идет на плюс. Сделал по книжке, частота пришла в норму. Но со стабилизацией частоты пришлось повозиться. Кадровая частота сразу застабилизировалась после присоединения конденсатора к D6. Проблемы были со строчной, если присоединить любой конденсатор к D4, то строки сверху экрана уползали налево. Более менее победил проблему, присоединив параллельно конденсатору на микросхеме D4 резистор на 4,7 кОм. Конденсаторы по 100 пФ.
Сейчас не могут разобраться с неправильным матрасом. Менял комплект памяти, менял процессор. Эффекта нет. Очевидно, проблема где-то еще.
Фото матраса https://drive.google.com/file/d/1uDsqYvq4Lu8lDrFaLOl2HNtkYLuMrtR2/view?usp=sharing

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 14 сентября 15:20:00 2021 | ссылка на пост    
Сообщений: 3
Автор: SergeyKCA
Добрый день!
Победил неправильный вывод текста. Причиной оказался неисправный процессор. Заменил на оригинальный ZILOG Z084006PSC - всё пришло в норму. Очевидно, подпалил выходы проца статикой от телевизора.
Тесты идут, система грузится, игры играют!
Осталось подсоединить музыкальный сопроцессор, увеличить память до 128к и, может быть, поставить дисковод.
Хотя для "ламповости" и так хватает.
Спасибо всем за помощь и, хотя бы, за сочувствие! ;)

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 сентября 23:31:46 2021 | ссылка на пост    
Сообщений: 8
Автор: Mix
Здравствуйте. На предыдущей странице Константин выкладывал схемку для скарт разъёма. Вопрос : нужно ли землю подсоединять к разьёму, чтобы она шла в тв ( gnd r, gnd g, gnd b, gnd audio) или они уже изначально в тв с землёй тв запаяны и к ним ненадо от спектрума провод вести?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 сентября 10:42:22 2021 | ссылка на пост    
Автор: Mix
Я имею ввиду 5,9,13,4 контакты на разъёме скарта. Нужно ли их спаять вместе и вести к ним землю от спектрума (или подвод земли и соединение вместе уже выполнены на плате тв)
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 сентября 10:49:43 2021 | ссылка на пост    
Автор: Mix
И ещё вопрос по "земле". У меня на 29 ногу процессора идет "минус 5в". И со стороны пайки на плате толстые дорожки по периметру - они же (-5в). Чё то я боюсь такую "землю" в тв заводить через скарт шнурок. Ниче не сгорит в тв от пяти вольт?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 23 сентября 11:58:19 2021 | ссылка на пост    
Автор: Mix
Всё!!! Отбой по всем вопросам. Подрубил к 40дюймовому самсунгу через скарт!!! Побежал искать магнитофон со шнуром!!!! Иииииии-хааа!!!!!
Всем спасибо!!!!

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 сентября 10:50:12 2021 | ссылка на пост    
Автор: Mix
Не долго музыка играла. :(
http://file.sampo.ru/337zn2/

Как только начинаю грузить игру- название гразится, а затем начинает всё мигать, мерцать... и в конце загрузки или появляется надпи ь, что нет сигнала (как будто видеокабель вытащили) или черный экран, потом перекошенная картинка игры... один раз даже чтото там выбирал кнопками (джойстик итд), но особо не видно было...

Куда копать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 сентября 13:27:55 2021 | ссылка на пост    
Автор: Mix
На втором тв вообще не подключается серез скарт...
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 сентября 15:54:49 2021 | ссылка на пост    
Автор: Зодчий Алекс
Друзья, приветствую вас! Возможно, что кому-то будет полезен мой личный опыт по доведению до ума
ZX Spectrum 48K Ленинград-1.

1. Первое, что было сделано – это установка доп. резистора 1кОм c +5В на 6 выв. Z80.
Это повысило уровень сигнала RAS до уровня, при котором стали запускаться все Z80.
До этого запускались далеко не все!

2. К выходам RGB были подключены доп. переменные, многооборотные резисторы порядка нескольких кОм
Одни их крайние точки присоединянись к корпусу (–5В), другие крайние к выходам RGB на плате,
а с их движков сигналы подавались на разъём, к которому подключался кабель, идущий к телевизору.
Это позволило очень точно выставить соотношение цветов, для получения чисто белого цвета на экране.

3. Чёрную мерцающую полосу по всей высоте правого края рабочего поля удалось
устранить установкой доп. конденсатора 240 пФ между корпусом и выв. 10
микросхемы D31 (КП13). Сигнал BORDER.

4. Прорисовка правого края окружностей восстановилась установкой доп. RC цепочки к выв.1 D33 (ИР9).

5. При загрузке игр с кассеты очень часто происходил их сброс. На входе стояли микросхемы К140УД6
и К521СА3А. УД6 была заменена на К140УД12. После этого сбросы при загрузке игр стали весьма редки.

6. Уже запущенные игры очень часто самопроизвольно сбрасывались. Стояли две микросхемы ПЗУ 2764.
И, как выяснилось, они были схемно неправильно подключены. После несложных изменений в схеме их включения игры перестали сбрасываться!

7. С этими доработками Spectrum проработал долгие годы, выдавая отличную картинку на телевизоре Sony. Но, новый Panasonic показывать корректно никак не хотел. Строки съезжали и дёргались. Тут мне попался этот сайт с доработками. Укоротил строчный синхроимпульс и сделал привязку к уровню чёрного по приведённой здесь Константином схеме на трёх микросхемах (ЛА1, ЛИ1, ТМ2). Сделал как и он – всего на двух (ЛА4 и ТМ2). Огромное ему спасибо за такую наводку! Картинка на экране стала стабильной.
Только слегка подёргивалась надпись, появляющаяся при включении или после сброса.
Её удалось стабилизировать уменьшением сигнала SYNC ещё одним переменным резистором.

8. Микросхема D36 (КР1533КП11А) была заменена на КР1533КП16.
Это позволило корректно выводить на экран изображения с повышенной яркостью.

9. Рабочее поле на экране было намного смещено влево. Кварц 14 мГц. По приведённой здесь методе
изменил коэффициент пересчёта счётчика D4 (ИЕ7) с 2 на 4. Картинка сдвинулась вправо, но всё-равно левее центра. Лучшие результаты были получены при установке числа 5 на этом счётчике. Картинка стала слегка правее центра, но это смещение оказалось меньшим, чем смещение влево при установке числа 4.
Друзья, вот посмотрите как после всех этих доработок теперь показывает мой Spectrum:
https://youtu.be/PqQpxXFQjUg. Кого заинтересовали изменения в схеме подключения ПЗУ
спрашивайте здесь и в комментариях под видео. Всё подробно распишу. Всем удачи!

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 3 октября 00:35:14 2021 | ссылка на пост    
Автор: Demo_Resident E-mail: alternatet@yandex.ru
Вопрос по схеме SCART. У разъема, который купил я, ног всего 20... Куда паять землю? Заранее спасибо
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 октября 08:47:44 2021 | ссылка на пост    
Автор: lz1tka E-mail: nikolai_tkachuk@abv.bg
Есть некоторые сбои в работе после доработок.
В принципе все работает на двух линейках Ру5.
https://www.sandacite.com/forum/index.php?topic=14964.30

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 20 октября 02:17:42 2021 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Demo_Resident, все земляные выводы скарта, как правило, соединены между собой со стороны телевизора, соотв. можно использовать любой из этих выводов: 4, 5, 9, 13, 14, 17, 18, 21. Для верности можно и прозвонить их, предварительно выключив телик из розетки.

lz1tka, судя по всему, на плате установлен процессор Mostek. Нужно убедиться, что установленный чип поддерживает частоту 3,5 МГц:
https://www.cpu-world.com/CPUs/Z80/MANUF-Mostek.html

Ну и причиной проблем может быть и блок питания. БП должен выдавать достаточную мощность и желательно, чтобы на всех участках платы напряжение было не ниже 5.0 вольт.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 20 октября 12:44:20 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: lz1tka
Зодчий Алекс, Спасибо!
" 1. Первое, что было сделано – это установка доп. резистора 1кОм c +5В на 6 выв. Z80."

Сбой пропал, завелся даже mostek на 2,5 мггц.

Константин Айги, спасибо за ответ!

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 октября 17:30:50 2021 | ссылка на пост    
Автор: Eugene
Добрый день. Подскажите по схеме расширения Ленинграда-1 до 256 кБ

https://hsto.org/webt/bp/oh/wi/bpohwivj_i1rpkid_ufynzewxwm.png

По схеме не понятно как соединить выводы 10,11,12,13 у КП12 (DD6). Их надо между собой соединить и к 15DD2? или только вывод 10DD6 соединить с 15DD2?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 3 ноября 11:26:42 2021 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Eugene, очевидно тут входы мультиплексора 10,11,12,13 соединяются между собой и заводятся на вывод 15 DD2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 3 ноября 17:39:20 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Eugene
Константин, спасибо за ответ!
Плату расширения на 256 кБ развел так:

https://disk.yandex.ru/i/HxQ7uHn6eIJzVA

Там же разместил доработки Ленинграда для правильной работы схемы расширения.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 4 ноября 14:18:39 2021 | ссылка на пост    
Сообщений: 3
Автор: Eugene
Информация для тех кто будет делать модернизацию Ленинграда-1 по схеме расширения ОЗУ до 256кБ:
https://hsto.org/webt/bp/oh/wi/bpohwivj_i1rpkid_ufynzewxwm.png
сигнал А1 (на вход DD1.5) не требует инверсии.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 7 ноября 08:48:30 2021 | ссылка на пост    
Сообщений: 3
Автор: Serj
Приветствую, друзья!

Подскажите пожалуйста, в какую сторону копать. Ленинград 1 выдает такую картинку https://i116.fastpic.org/big/2021/1117/51/fbb1e39e5399aa86007d17a7d56de051.jpg

P.S. Константин, огромное спасибо за отличный сайт с такой полезной информацией!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 17 ноября 22:41:00 2021 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
Serj, похоже, что-то подмешивается к синему каналу при отображении пикселя (INK). Надо проверить, нет ли замыкания с чем-то посторонним на выходе D30-15, входе D36-3.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 18 ноября 07:41:02 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Serj
Константин, спасибо огромное за совет! Заменил КП11 на КП16 все стало с цветом нормально. Но такое впечатление, что не прорисованы все пиксели букв. Когда курсор с заливкой все нормально, а строки выглядят так как будто вертикальные белые полосы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 20 ноября 22:24:15 2021 | ссылка на пост    
Сообщений: 4
Автор: NOP
ТТЛ-логика, предпочтительнее 1533 (аналог 74ALS) или 555 (аналог 74LS)
>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>

Подскажите, логику серий 555/1533 серий можно комбинировать при сборке Ленинградов 1 и 2? Или нужно только на одной какой-либо серии?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 ноября 00:13:36 2021 | ссылка на пост    
Автор: Serj
Все решилось, совсем забыл про схему сопряжение со SCART. Собрал, все стало норм. А так были тонкие буквы с непрорисованными пикселями.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 22 ноября 22:09:48 2021 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
NOP, да, логику 555,1533 комбинировать можно. И, кстати, даже со 155-й серией. Но стоит помнить, что у серии 1533 значительно ниже энергопотребление, чем у предыдущих серий ТТЛ. Поэтому, для стабильной работы устройства со смешанной логикой, рекомендуется использовать БП помощнее. И не стоит жалеть блокировочных конденсаторов при сборке.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 ноября 08:15:52 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Serj
Доброго времени!
При подключении контроллера дисковода столкнулся с такой проблемой на экране такое изображение



Компьютер первый ленинград с апгрейдом до 128кб и ПЗУ 27с512. Прошивка с этого сайта.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 11 декабря 15:11:34 2021 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
Serj, очевидно допущена ошибка при подключении контроллера. Такая картинка характерна для запуска системы без процессора. Выходит, что-то блокирует старт процессора. Надо проверить сигнал RESET, адресную шину и шину данных. Контроллер на этапе начальной наладки стоит подключать без ВГ93 и, разумеется, без собственного ПЗУ, если используется комбинированная прошивка 27C512.

Также стоит помнить, что подключение дополнительной периферии увеличивает энергопотребление, соотв. БП должен быть достаточно мощный (на 2 ампера и выше).

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 декабря 15:15:06 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AXLP_RU
Здравствуйте, Константин!
С наступающим Новым Годом!
Огромное спасибо Вам за сайт и возможность узнать полезную информацию.
Вот налаживаю плату "Ленинград-48" и ни как не могу её запустить. Вроде всё норм, а не запускается.
Если Вас не затруднит, прошу помочь. Заранее СПАСИБО Вам ОГРОМНОЕ!
По этой ссылке фотографии платы, экрана и некоторые осциллограммы. После нажатия кнопки сброс, на экране появляется "матрас" двух видов (на фото оба вида). Осциллограммы названы номер микросмемы_номер ноги. +5 В, есть на всех микросхемах. ПЗУ прошивал файлом с вашего сайта (TL866II+), после прошивки verify - ok. Как думаете в чём может быть дело. Заранее спасибо.
https://disk.yandex.ru/d/HiM4sfr-JXoUkg

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 30 декабря 14:31:22 2021 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
AXLP_RU, похоже, всё собрано правильно. Картинка и осциллограммы соответствуют нормальной работе системы без ПЗУ. Чтобы стандартная прошивка sos48k_only.bin (16K) работала на позиции D29, нужно немного скорректировать плату, т.к. по умолчанию плата рассчитана на установку двух половинок ПЗУ по 8К каждая.

Под прошивку 16К (27128) делаются следующие доработки: вывод D29-20 отрезается от A13 и заводится на землю, на вход D29-26 подается сигнал A13.

С наступающим! )

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 31 декабря 11:32:11 2021 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AXLP_RU
Здравствуйте, Константин! Спасибо. Буду пробовать. У меня есть две ПЗУ S27C64A, можно ли их прошить sos48k_only.bin разбив её на две части 0h0000-0h1FFF и 0h2000-0h3FFF или необходимы "танцы с бубном"? Ещё раз спасибо! и С Новым Годом!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 января 19:10:09 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
AXLP_RU, да, можно разбить sos48k_only.bin на две половинки так:
27С64 D29 - #0000-#1FFF
27С64 D41 - #2000-#3FFF

Только не все ПЗУ подойдут. Дело в том, что в «Ленинграде» выборка ПЗУ D41 осуществляется по входу 27 (PGM), что не всеми микросхемами поддерживается. Подробнее об этом тут:
https://zx-pk.ru/threads/33199-otklyuchaemyj-po-romdis-uvprom-27128-podskazhite.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 января 20:18:11 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AXLP_RU
Константин, Спасибо Вам за помощь и отзывчивость!
Здоровья, Счастья и Удачи в Новом Году!
Очень понравились ваши видеоролики, особенно про Ленинград-48 и про рыбалку на Мещёре! В них есть спокойствие счастливой человеческой жизни, такой жизни которой система сейчас не даёт человеку, жизни размеренной и спокойной с уверенностью в лучшее на завтрашний день. По моему скромному мнению, такое есть только у Вас на канале, и у адвоката Егорова. Спасибо Вам за ваш труд.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 3 января 21:12:25 2022 | ссылка на пост    
Сообщений: 10
Автор: AXLP_RU
Здравствуйте, Константин!
Прошил ПЗУ
27С64 D29 - #0000-#1FFF
27С64 D41 - #2000-#3FFF
микросхемы на фото:
https://disk.yandex.ru/i/A8D-wNoR6rIqUQ
Картинка поменялась, но заветной надписи пока нет
https://disk.yandex.ru/i/XpiEhsY4tECytQ
Прошу у Вас совета, если возможно?
Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 4 января 21:54:58 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
AXLP_RU, благодарю за отзыв и поздравление! ) И, кстати, скоро выйдет новое видео – работа идёт полным ходом!

Рекомендуется сначала запустить тестовую прошивку:
http://www.cxemateka.ru/v1/test48k.bin

Прошить её можно и в 27C64, и в 27C128. Для 27C64 нужно заполнить все 8K повторяющимся блоком 2K из файла test48k.bin. Для 27C128 заполнить все 16K аналогичным образом.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 января 12:52:06 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AXLP_RU
Здравствуйте, Константин!
Спасибо, буду пробовать с тестовой прошивкой.
И буду следить за новостями на вашем видео-канале.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 января 14:44:28 2022 | ссылка на пост    
Сообщений: 10
Автор: AXLP_RU
Константин, сделал как Вы посоветовали: прошил ПЗУ 27C64 тестовой прошивкой, всё оставшееся место заполнил последовательно скопированными копиями прошивки. После запуска следующая картина:
https://disk.yandex.ru/d/Anf6Mkd7dWML4A
С течением времени повышается шум (случайность) отдельных пикселей.
Не знаю куда копать, проверял уровни и формы напряжений по книге ремонт и наладка, вроде всё в пределах допустимого, но не запускается.
Книга "Ремонт и наладка Ленинград 48 к"
https://disk.yandex.ru/d/mwWXkEVQIBUl9A
Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 5 января 22:55:59 2022 | ссылка на пост    
Сообщений: 10
Автор: alex
AXLP_RU, это Зодчий Алекс. У вас не запускается процессор. Точно такие же картинки матраса с вертикальными полосами я уже наблюдал на своём "Ленинград-48". Попробуйте установить доп. резистор 1кОм c +5В на 6 выв. Z80. Это повысит уровень сигнала RAS до уровня, при котором запустятся все Z80. Без этого резистора запускались далеко не все!
Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 6 января 11:22:41 2022 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
Да, дело очевидно в процессоре. Только сейчас обратил внимание, что на плате установлен процессор с индексом C (Z84C0006PEC) - это КМОП, а нужен ТТЛ.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 января 12:50:14 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AXLP_RU
Здравствуйте, Зодчий Алекс! Спасибо, попробовал 0.7 кОм, 1.5 кОм и 3 кОм. Уровень тактирующего импульса CLK подтягивается к 5 В, но картинка прежняя. Ставил разные процы, и заведомо исправные, и память менял с 555ру5 на КМ4164В, тоже не помогло. Вроде все сигналы есть, но не хватает знаний и опыта для поиска и устранения неисправности.
Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 января 13:15:25 2022 | ссылка на пост    
Сообщений: 10
Автор: AXLP_RU
Константин, здравствуйте! У меня есть процессоры:
Z84C0006PEC -- Manufacturing process CMOS
Z0840006PSC -- Manufacturing process NMOS,
так понимаю, что оба не подходят?
Подскажите пожалуйста какой проц. подойдёт, по какой технологии изготовленный? Насколько понимаю КМОП (комплиментарная металл-оксид полупроводник) -- это технология производства полупроводниковых интегральных схем. А ТТЛ это тип логики (транзисторно-транзисторная логика), получается, что КМОП и ТТЛ это не сравнимые вещи, в первом случае (КМОП) технология производства, а во втором тип построения интегральных логических элементов.
Нашёл классический проц. Z80, на сайте ZILOG (https://www.cpu-world.com/CPUs/Z80/Zilog-Z80%20CPU.html), такой точно должен подойти он произведён по технологии (MOS LSI - металл-оксид полупроводник высокой степени интеграции), но такие процы сейчас не найти.
Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 января 13:41:35 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Z0840006PSC – такой процессор подойдёт.

Речь в данном случае не о технологии производства, а о поддерживаемых микросхемой логических уровнях. У КМОП и ТЛЛ эти показатели разные:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p335

Прошивку с тестом нужно ставить на позицию D29. Если всё не совсем плохо, то как минимум будет смена цветов бордюра на старте. Как этот тест работает видно тут:
https://youtu.be/8rWCYo1UcOQ?t=460

Если этого не происходит, то нужно проверять шину данных и адресную шину процессора, не лишним будет проверить наличие корректного сигнала INT (D20-16). Также нужно проверить (прозвоном) все ли сигналы проходят от процессора до D29, проверить правильно ли формируется сигнал выборки ПЗУ RDROM (D12-3).

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 января 14:52:07 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: AXLP_RU
Константин, спасибо Вам!
С процем Z0840006PSC, тоже не запустился, буду ещё раз позванивать и проверять все уровни напряжений.
Спасибо Вам за помощь!

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 января 18:00:30 2022 | ссылка на пост    
Сообщений: 10
Автор: alex
AXLP_RU. В вашем случае не в процессоре дело. Долгие годы в моих "Ленинград-48" исправно работали Z0840004PSC. Вчера прислали Z84C0004PSC. Тут же его и поставил. Всё отлично работает. При этом ток с 0,66 А понизился до 0,54 А. То есть на 120 мА меньше и не греется, чему я очень рад.
Когда я собирал свои ZX, то первым делом проверял плату на соответствие её схеме и отсутствие на ней обрывов. Выявил целую кучу несоответствий, с которыми ZX никогда бы не удалось запустить!
Советую и вам начать с того же. Для наглядности на листе бумаги в клетку нарисовал схематично каждую из микросхем одну под другой. Например: Z80 2 клетки в ширину и 20 в высоту, ЛА3 – 2 и 7 кл. и т.д.. Влево и вправо от нумерованных выводов писал с какими выводами, каких микросхем они должны быть соединены. И прозвонил каждый выв. каждой микросхемы, а затем ещё и между собой соседние выв. микросхем согласно схеме, чтобы и между ними не было незапланированных соединений.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 января 20:14:27 2022 | ссылка на пост    
Сообщений: 4
Автор: Сергей E-mail: cncservo@yandex.ru
Константин, Спасибо за интересные видео на ютубе. В этом году планируете ролик какой ни будь по теме zx spectrum ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 7 января 22:12:12 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Сергей, да, видео будет. И по теме Спектрума в том числе )
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 9 января 17:20:17 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Mef78
Константин, приветствую.
Подскажите пожалуйста, что может быть.
Собрал клон ленинграда на плате 2017 года.
https://disk.yandex.ru/i/r2owdFsrbDljFw
С доработками, укоротил синхроимпульс, сделал привязку к уровню черного, ещё что-то...
Матрас есть.
https://disk.yandex.ru/i/rndoRQmeQrS5Ug
Дальше этого не идет.
Память с алиэкспресса 4256-10, похоже частично битая, не со всеми микросхемами стабильный матрас (выбрал те с которыми матрас стабилен), плюс у себя нашел несколько ру7. 1е выводы озу на земле.
С тестовой пзу для 48к выводит только часть символов, все на картинке
https://disk.yandex.ru/i/oHbyjSlnsUg-LA
https://disk.yandex.ru/i/1jaYPXJGlzEL6Q
https://disk.yandex.ru/i/UXCsMCP3bXHC3g
Так же иногда угадывается надпись неисправность буфера .
Бордюр всегда черный.
Куда копать ? Кроме того что менять память...

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 20 января 11:25:27 2022 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Mef78, сначала рекомендуется убедиться, что процессор установлен подходящий (не КМОП). Также важно, чтобы тактовая частота на 6-й ноге процессора была стабильна. Далее надо проверить правильность формирования сигнала записи в порт /IOWR (D14D), регистр D39 (ТМ9). И не очень хорошо, что D2 и D9 серии 531, правильнее будет тут использовать серию 1533 (74ALS).
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 января 12:41:17 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Mef78
Процессоров у меня 2. Не кмоп процессор дальше матраса не ушел, не стартует с ним система. Кмоповский с буквой С хотя бы пытается стартовать.
Тактовая стабильна. Смотрел осциллографом с частотомером. /RAS подтянут к +5 через сопротивление 1ком.
Остальное попробую проверить.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 января 18:11:30 2022 | ссылка на пост    
Сообщений: 3
Автор: Mef78
Константин, спасибо за наводку. Бордюр заработал, была неисправна D39 (ТМ9).
С остальным пока что беда - все та же неисправность буфера, и вывод на экран только части символов.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 21 января 06:30:32 2022 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Mef78, надо прогнать этот тест (вариант с заполнением экрана):
http://www.cxemateka.ru/v1/test48k_org.bin

Как этот тест должен проходить, можно посмотреть в любом эмуляторе, заменив основное ПЗУ соотв. прошивкой.

А осциллограммы можно сверять с виртуальной моделью «Ленинграда» для ISIS Proteus:
https://zx-pk.ru/threads/32000-vremennye-diagrammy-(rastaktovki)-quot-leningrad-48-quot-v-isis-proteus.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 января 05:58:50 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: alex
Друзья, это дополнение к пункту 6 моего комментария от 3 октября 2021г.
В нём шла речь о неправильном схемном решении в ZX Spectrum 48K Ленинград-1
при подключении одной из 2764. На производстве я имел дело с промышленными
контроллерами. Давно это было. Так вот тогда, запустив свой ZX заметил,
что уже загруженные игры очень часто самопроизвольно сбрасываются.
И это было у всех на таких же ZX. При сравнении схемы подключения ПЗУ ZX со схемой
промышленного контроллера выяснилось, что вторая микросхема D30 по схеме
и она же D41 на плате имеет нестандартное для неё подключение! В частности,
её выв. 20 подключен к –5 В. Тогда как в промышленном контроллере на выв. 20
подаётся сигнал A13 с выв. 3 процессора Z80 через инвертор микросхемы К555ЛН1.
Поэтому на плате в схему подключения D41 были внесены следующие изменения:

1. Выв. 20 D41(/CS) был отрезан от –5 В.

2. Выв. 27 D41(U2) был отрезан от выв. 3(A13) процессора Z80, от выв. 20 D29(A13)
и от катода диода D(A13) и соединён с выв. 28 D41(+5 В).

3. Выв. 3(A13) процессора и выв. 20 D29(A13) были соединены с катодом диода D(A13)
и со входом неиспользуемого логического элемента "НЕ" микросхемы D34 (ЛН1) выв. 13.

4. Выход c D34 выв. 12 был соединён с выв. 20 D41(/CS).

Вот и всё. Для этого потребовалось в 3-х местах перерезать печатные
проводники на плате и припаять 3 дополнительных проводка. Игры больше не сбрасываются!
В схеме одинаково хорошо работают как 2764 (ТТЛ) так и 27C64 (КМОП) с процессором Z0840004PSC (ТТЛ)
и Z84C0004PSC (КМОП) в любом сочетании.

Плата ZX Spectrum 48K Ленинград-1, собранная на 555 серии,
565РУ5Г, 2764, с Z0840004PSC потребляет 0,66 А постоянного тока.
Она же, но уже на серии 1533, 565РУ5Г, 27C64 с Z84C0004PSC потребляет 0,45 А.

Если вы находитесь в Санкт-Перербурге и у вас возникли проблемы с запуском
вашего ZX Spectrum 48K Ленинград-1, то можете написать номер телефона
для связи с вами под этим видео: https://youtu.be/jhjRu2tOmBE.
Созвонимся и попробуем запустить. Всем удачи.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 25 января 13:35:13 2022 | ссылка на пост    
Сообщений: 4
Автор: AXLP_RU
Константин, здравствуйте!
Сегодня нашёл время и выполнил все ваши рекомендации, и наконец ZX завёлся! Спасибо Вам огромное. Интересно, что в итоге работает с Z84C0006PEC и с Z0840006PSC. Разницы не заметил.
Спасибо Вам!

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 31 января 05:40:06 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
AXLP_RU, не за что! Как правило, КМОП процессоры плохо себя ведут в «Ленинградах», но всё зависит от конкретной платы и непосредственно процессора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 1 февраля 07:31:55 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин, день добрый.
Подскажите куда копать при столь интересной неисправности.
https://youtu.be/dhf-WNzc6w4

Проходит тест а на экране нет надписей просто чёрный квадрат.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 февраля 12:34:20 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Александр, атрибуты есть, пикселей нет. Надо проверять D33 (ИР9), а именно наличие сигналов /TI и /SCR на входе, наличие сигнала на выходе D33-9. Наличие сигнала TI на входе D35-9, сигнала на входе D35-1, а также на выходе D35-10 и на входе D11-2.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 февраля 15:03:55 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин, проверил всё, что вы мне рекомендовали.
все сигналы на месте и я уже было опять начал штурм мозга как обратил внимание при развертке кокета пачки импульсов на D33.1 и обратил внимания на зализанные фронты убрал конденсатор между D33.1 and GND фронты сигнала стали красивые как и должны быть. Текст на экране появился.
в ходе теста 48к_org.bin вылезло правда куча ошибок с памятью, память использую 4164 зарубежную. пока разбираюсь.
Лежали на полке в кладовке "бейсик", "дельта", и самопал "Ленинград-1" и вот попались они мне на глаза, а ведь были они живи умерли лежа на полке от времени :). решил вспомнить былые времена да починить.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 23 февраля 10:51:34 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Александр, ёмкость конденсатора на D33-1 подбирается вручную для корректного отображения дуг и окружностей:
https://www.cxemateka.ru/v1/Other.png

Если память сбоит, то стоит проверить БП. Должно быть достаточно мощности, желательно не менее двух ампер. И желательно чтобы напряжение на всех участках платы было не менее 5.0 вольт.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 23 февраля 14:31:41 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Виталий
Привет Константин! Без твоей помощи обойтись не могу. Вторую неделю мучаюсь. Собрал БЛИЦ, переделал его по схеме данной выше в 128, добавил муз процессор. Все это работает. Приобрел плату контроллера дисковода С-48 http://zxbyte.ru/bdi_c48_for_zx.htm собрал и сделал доработку под 128 как указано, Д10 12 ногу посадил на +5в,4-й бит порта #7FFD взял с ПЗУ 27 ноги и завел в д13 11ногу, /ROMCS взял с д10 9ноги и подключил к выбору tr-dos, а9 и outiorq c доработок указанных в переделке под 128, остальные сигналы с процессора /NMI c17 ноги уже в блице через сопротивление отдельно. Проблема в том что когда выбираешь tr-dos включается какая то пила в виде 5 трёх угольников на секунду и на экране появляются цветные квадратики. Уже не знаю куда копать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 15 марта 04:52:12 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, если поставить комбинированную прошивку с подключением по этой схеме - https://www.cxemateka.ru/v1/Other.png, тогда никаких доработок контроллера делать будет не нужно. Достаточно завести сигнал A9 к контроллеру дисковода через элемент eD7.2, чтобы не нужно было заводить 4-й бит порта #7FFD (выбор ПЗУ 128к) на DD13-11 контроллера. Да и целесообразность соединения DD10-12 с +5В вызывает сомнения.

Полезные ссылки по теме:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p428

https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p640

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 марта 06:48:27 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Виталий
Прошивка стоит комбинированная на W27C512-45Z, подключение по этой схеме. Без доработок, только сигнал ROMCS с DD10-8. Сигнал A9 подключен к контроллеру дисковода через элемент eD7.2; 4-й бит незаведенный. DD10-12 с +5В вернул на место. убрал все доработки. При включении tr-dos
на экране цветные квадратики. сигналы все присутствуют. Без вгешки меню доса должно включатся ? Само интересно что ПЗУ комбинированное, test_v4.30 и записанный тест 128 на W27C512-45Z во все четверти работает, а тест 128 на m27128af показывает ошибки, а на ленинграде и синтез 2 все идеально!

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 16 марта 10:38:32 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, да, без ВГ93 вход в TR-DOS должен работать. На начальном этапе рекомендуется тестировать работоспособность контроллера без ВГ93.

Сигнал выбора TR-DOS (ROMCS) должен быть в единице в нормальном состоянии, и в нуле при входе в TR-DOS. Если это так, то проблема может быть в прошивке. Кроме того, возможен вариант, при котором не работает выход из ПЗУ TR-DOS. Выход из TR-DOS в ПЗУ-48 производится путем чтения команды из ОЗУ- элементы контроллера DD12.1, DD6.5, DD11.1.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 17 марта 03:56:28 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Виталий
Константин я Вас немножко обманул. Когда вернул все на место, при входе TR-DOS у меня сбрасывалось назад в меню. Как Вы подсказали начал проверять выход из TR-DOS в ПЗУ-48 и обнаружил что из DD11.1 3 ноги нет дорожки в DD10.2 10 ногу. Когда восстановил, (ROMCS) стал в ноль. При включении все в квадратиках, что только не делай. Взял с DD10.2 9 ноги (/ROMCS). При включении черный экран в течении 7 секунд и включается меню выбора, после чего уже можно зайти в меню TR-DOS, при сбросе повторяется. Потом взял с DD10.2 12 ногу массы и перекинул на плюс и заработало все как надо! Со входом разобрался, теперь другая проблема вышла.При команде RUN пишет нет диска, при выборе диска В,на экране пишет В и тоже нет диска. На DD8 .2 6 выводе 1. на DD8.4 11 выводе 0. То есть переключение на экране пишет, а на выходах ничего не меняется. На выходах DD9 ид7 все 1 и не меняются (смотрел осциллографом). Я плохо еще разбираюсь в электронике, подскажи что еще можно в этом случае проверить. ВГ пока ставлю 8877, ей не надо 12 вольт.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 18 марта 00:49:31 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, если используется дисковод 3.5, а не 5.25, то для нормальной работы с 3-х дюймовыми дискетами, нужно на них заклеивать окошко, определяющее плотность записи, т.к. контроллер на ВГ93 не работает с дисками объёмом более 720 кб. Окно плотности записи находится в углу дискеты – квадратное окошко, без защёлки. Заклеивать надо непрозрачным материалом. Например, как-то я пробовал заклеивать стандартной синей изолентой – не сработало. А с чёрной изолентой проблем не возникло.

Если есть MB8877A – это хорошо. Эта микросхема надёжнее ВГ93 и 12В, действительно, не просит. На 24 ноге ВГ93 должна быть тактовая частота - 1 МГц. Также надо убедиться, что порты Спектрума отключаются в момент активности TR-DOS, как показано тут: https://www.cxemateka.ru/v1/Other.png - элемент eD7.3 (сигнал процессора IORQ отрезается от основной схемы и заводится на вход 9 eD7.3, OUTIORQ подается на основную схему компьютера вместо IORQ).

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 18 марта 09:03:40 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Виталий
Использовал 3.5 с заклеенными окошками и записанными на нем дисками. Сейчас подключены два 5.25 дисковода проверенными и рабочими, с записанными дискетами тоже на них. В
дисководах, шнурах и MB8877A я уверен на 100%. На 24 ноге ВГ93, то есть у меня на MB8877A есть тактовая частота - 1 МГц. Все сделано по схеме, через eD7.3. Сигнал /IORQ в контроллер, взятый с eD7.3 8 ноги OUTIORQ. Не понравилось что на выходах контроллера DD9 ид7 все 1. Начал разбираться, посмотрел таблицу истинности ид7 и на входе контроллера DD9 4 ноги (/IORQ взятый сигнал с eD7.3 8 ноги OUTIORQ) постоянная 1, на осциллографе нет никаких даже импульсов, даже при нажатии команды RUN. Другими словами блокирована ид7. Отпаял вход контроллера /IORQ и на прямую подключил к процессору Z80 20 ноге/IORQ. При нажатии RUN дисковод начинает двигать головкой вперед назад, при этом загорается лампочка на дисководе который выбран и в течении нескольких секунд выдает ошибка трек 0, сектор 9. Переключение между дисководами А и В есть. Что то с сигналом OUTIORQ. РТ4 с прошивкой все правильно. Одну я прошил, другая пришла вместе с платой контроллера, которую считал и сравнил со своей. Константин какие еще мысли могут быть?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 20 марта 01:14:30 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, на контроллер дисковода подаётся сигнал IORQ непосредственно с 20-й ноги Z80. А сигнал OUTIORQ подаётся на схему Спектрума вместо IORQ. Смысл в том, чтобы блокировать работу портов Спектрума во время активности контроллера.

Если дискеты записывались на PC, то могут быть проблемы с чтением. Чтобы избежать проблем, я делаю так: форматирую дискеты на контроллере Спектрума; затем записываю на эту дискету образ, используя PC. В этом случае, проблем с чтением, как правило, не возникает.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 20 марта 04:16:02 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Виталий
Понял, оставляю сигнал контроллера IORQ с 20-й ноги Z80. Сигнал OUTIORQ сделан и остается для блокировки портов. Получалось когда брал сигнал контроллера с OUTIORQ, я не только порта блокировал, но и сам контроллер. Дискеты были записаны, форматированные и проверены дисководы с ВГ93 (MB8877A) на ZX синтез 2, месяц назад. Пока не оторвалось в нем что то (на честном слове у него все и сделано много ошибок, но это потом разберусь). Насчет команды формат, воспринимает и висит. может выдать ошибку трек 0, сектор 1. Пробовал ПЗУ от пентагона с версией TR-DOS 5.3, тоже самое. Значит еще третья болячка вылазит. Медленно но уверенно мы идем к победе!
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 20 марта 14:08:47 2022 | ссылка на пост    
Сообщений: 10
Автор: Григорий Мосин
Константин приветствую, хотел проконсультироваться по дельта-с на 52(ис)с одним полем памяти, после вандалов, запустил тест прошёл - не работает клавиатура , попробовал проводком замыкать kd3+ka14 и все другие варианты, отклика нет. На что обратить внимание?
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 21 марта 12:48:38 2022 | ссылка на пост    
Автор: Виталий
Наверно победы не будет, перепроверил все что можно. Все рабочее а ошибка трек 0 сектор 9.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 марта 00:00:51 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Виталий, если при первом обращении к диску, головки дисковода не двигаются, то надо проверить сигналы дисковода STEP (20) и DIR (18). Затем надо проверить прохождение сигнала INDEX (8) до ВГ93. Проверить наличие сигнала RDATA (30) при попытке чтения сектора 9 на треке 0 (первый считываемый сектор при доступе к диску), а также наличие синхронизирующего тактового сигнала чтения DD1-26 и сигнала входных данных DD1-27.

Подробное описание КР1818ВГ93 тут:
https://www.cxemateka.ru/ftp/1818VG93_RUS.ZIP

Григорий, видимо, там повыкусывали конденсаторы и не работает сигнал INT. По той схеме, что можно найти в сети на эту Дельту, надо проверить наличие элементов C12, R15, VD2. Ну и вообще, есть смысл свериться со схемой и с фото аналогичных плат (можно найти в сети) на предмет наличия/отсутствия разных элементов (конденсаторов, прежде всего).

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 марта 02:30:26 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Григорий Мосин
Константин , спасибо c12 треснутый был
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 22 марта 13:43:02 2022 | ссылка на пост    
Автор: Виталий
Константин, при первом обращении к дискам запускается вращение диска, головка двигается несколько раз вперед назад и через секунду делает шаг вперед. Проходит около минуты, ошибка трек 0 сектор 9 и через время останавливается вращение диска. после выключения или сброса повторяется. При втором обращении, только вращение диска и после ошибки через время остановка диска. Сигнала INDEX (8) до ВГ93 проходит, RDATA (30) идут импульсы, наличие синхронизирующего тактового сигнала чтения DD1-26 присутствуют прямоугольники с частотой 250.000 кГц и сигнала входных данных DD1-27 тоже. Это кошмар, хоть бери всю логику по одной и меняй заново. Дорожки по схеме тоже все проверил. Что делать уже не знаю.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 29 марта 01:12:56 2022 | ссылка на пост    
Сообщений: 10
Автор: Виталий
При форматировании диска, головка делает 1 шаг в минуту. До конца не дожидался.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 29 марта 01:15:48 2022 | ссылка на пост    
Сообщений: 10
Автор: Виталий
Константин, похоже я нашел проблему. Завтра припаяю и отпишусь. 37 нога вг93 сигнал DEN двойная плотность должен быть в 0, то есть быть на земле а он висит в воздухе и не куда не подключен.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 31 марта 02:19:21 2022 | ссылка на пост    
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Виталий
Константин, спасибо за оказанную помощь. Посадил 37 ногу вг93 на землю и заработал контроллер.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 3 апреля 14:40:29 2022 | ссылка на пост    
Сообщений: 10
Автор: Иван E-mail: zxline@yandex.ru
Константин, здравствуйте, подскажите пожалуйста, есть Ленинград 48, его удалось подключить через китайски конвертер к VGA монитору, но у него сильно греются счетчики КМ155ИЕ7. Может они так и работат? Большое спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 июня 08:16:56 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Иван, у 155-й серии более высокое энергопотребление, чем у серий 555 и тем более 1533. Так что да, они вполне могут заметно сильнее нагреваться, чем микросхемы более поздних серий.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 29 июня 21:35:27 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: fant777
Здравствуйте, Константин и участники форума! Пожалуйста, просветите: для D1 (которая лн1 для кварца) что лучше использовать к555лн1 или кр1533лн1? Имеется и то и то. Если не ошибаюсь, то ранее в каких-то статейках/форумах читал, что 555 тут лучше будет. Другими словами, если всё собрать на кр1533, а на D1 поставить к555, то имеет ли смысл?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 июля 21:25:29 2022 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
fant777, серия 1533 будет предпочтительнее, т.к. у этих микросхем низкое энергопотребление, а значит и шумов по шине питания будет меньше. Ну, а чтобы тактовый генератор работал стабильно, рекомендуется внести небольшие изменения в схему включения D1:
https://www.cxemateka.ru/v1/Other.png

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 13 июля 04:56:24 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Константин E-mail: kos_ru@mail.ru
Добрый день, помогите с проблемой. Рабочий экран на один столбец закрыт бордюром, то есть на матрасе отображаться 15 полос вместо 16 и соответственно при наборе текста самый правый символ не отображается.При отключении 10 ножки DD30(ир13) последний столбец появляется, но соответственно перестает работать. В остальном все работает четко за исключением закрытия 16 столбца экрана бордюром.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 19 июля 16:22:23 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Константин, сначала надо проверить, не внесена ли слишком большая задержка в сигнал SCR (обычно ставят конденсатор на D10-4 и RC-цепочку на вход D33-1: https://www.cxemateka.ru/v1/Other.png). Если тут проблем не наблюдается, то можно попробовать внести задержку в сигнал BORDER, установив конденсатор между землей и выводом D13-6 (номинал конденсатора подбирается опытным путем - от сотен пикофарад до нескольких нанофарад).
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 20 июля 08:02:53 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Борис E-mail: dzgo@yandex.ru
Константин, спасибо за сайт, видео, полезные файлы, подробные комментарии и конкретные рекомендации. Благодаря сайту удалось запустить Ленинград 48К. При наладке очень помог test48k.bin. Спасибо за тест, Константин.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 8 августа 12:19:43 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Борис, не за что! )
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 8 августа 14:27:20 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: имдра ымдр
Приветствую. Многое подчеркнул с этой темы. Отдельное спасибо автору. Делюсь своим результатом доработки, может кому пригодиться: https://drive.google.com/file/d/1H3Rwzi7lFDIHv71Usn7_IO4TPdgaw20S/view?usp=sharing
Времянка оригинального ZX Spectrum 128 отличается от 48. 228 тактов на строку, 311 строк в кадре и INT раньше на строку.
https://youtu.be/JbMjMkZ06x4
https://youtu.be/N6CDsOL2rI0

Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 9 августа 19:45:47 2022 | ссылка на пост    
Сообщений: 7
Автор: Борис E-mail: dzgo@yandex.ru
Константин, на сайте в списке микросхем для сборки не хватает одной микросхемы - 1533ТМ9.
Когда собирал свой Спектрум, при сборке обнаружил, что у меня нет этой микросхемы.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 11 августа 04:15:46 2022 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Борис, спасибо, исправил!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 11 августа 07:26:38 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Sergey
Константин, добрый день!
Во-первых хотел бы также поблагодарить вас за помощь в широком смысле, которую вы оказываете любителям цифровой электроники и поклонникам Синклеро-строения :-)

Также сам столкнулся с запуском лежавшего на антресоли контроллера диска. Плата - Спектрум серии Ленинград (Зонова 48к). Контроллер Beta Disk Interface trdos v5.0.4E, версия платы с 2-мя релюшками для входа в trdos и кнопкой Magic.

Проблема как я понимаю в чтении/записи. Контроллер форматирует все диски с выдачей результата 1264/1264 секторов. Тут же на отформатированном диске говорит "Disk error". И так на всех прежних дисках 5.25", дисковод Teac FD55GFR. Пробовал подключать 3.5" дисковод - тот же результат.
Сигналы, идущие на разъём диска вроде все проверил, доходят, также как и с диска. Контроллер 8877 импортная. То есть он как-бы форматирует диск как односторонний, но его же прочитать не может. Сигнал Side вроде в норме, но в начале процесса форматирования он меняет состояние, а потом все дорожки - состояние не меняет.

Есть ли способ проверить правильность записи на диск, а также что же он читает в результате с диска, с тем результатом, что он всё же якобы форматирует диск (1264/1264), но потом выдает "dosk error"?

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 28 сентября 22:38:29 2022 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Sergey, рекомендуется проверить прохождение сигнала WG (write gate) от выхода 30 ВГ93 (MB8877A) до дисковода. Возможно также, что проблема в самой микросхеме ВГ93.
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 2 октября 05:51:16 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: имдра ымдр
Добрый день. Возникла проблема. Ленинград 1, 128К по схеме на импорте. FF порт и торможение по схеме Ленинграда 2012 (отключаемо). NEMO FDC собрал мгтфом по схеме отсюда https://zx-pk.ru/threads/33931-kay-256-turbo-v-2021-nemo-fdc-nemo-ide.html. Ром с шапки где Tr-dos в первом банке. Читает и пишет. Собственно проблема, кнопка Magic делает только 48к образы,при попытке скинуть 128к сохраняет только один файл 192 сектора. Созданные образы на эмуляторе (128) не загружает. Тест 4.30 говорит что мусор при im2. Особо информации не нашёл ни про magic ни про im2. И ещё по немо, сигнал blk не нашёл, подал минус. Также пробовал ром с эмулятора, ситуация не изменилась.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 5 ноября 14:05:05 2022 | ссылка на пост    
Сообщений: 7
Автор: серж
подскажите пожалуйста токая проблема при включении белый фон и чёрный квадрат по центру.при нажатии на кнопку сброс внутри чёрного квадрата пробегают полоски и всё снова чёрный квадрат
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 12 ноября 17:12:19 2022 | ссылка на пост    
Автор: имдра ымдр
Разобраться. Взял IORQ на расширение памяти непосредственно с процессора, magic заработал как положено. im2: нужно дополнительно добавить М1 в IORQ на BTI.(запретить IORQ при M1. Подтверждение прерывания IORQ и M1 в низком уровне, отсюда и мусор)
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 26 ноября 08:33:56 2022 | ссылка на пост    
Сообщений: 7
Автор: VMS
Привет всем любителям Спектрума.
Решил собрать аппарат в версии "Ленинград-2". Собственно, уже почти собрал, дело идёт к наладке.
Есть непонятки с несколькими сигналами на системном разъёме.
Во-первых, нет однозначной нумерации контактов разъёма: есть два ряда контактов с одинаковыми номерами, хоть бы назвали их как-нибудь "ряд А" и "ряд Б" что-ли, а то приходится говорить "первый ряд от края платы" и "второй с краю".. Но это так, между прочим..
В крайнем, значит, ряду, контакт 6 — это /IORQ. Во втором ряду контакт 2 обозвали /OUT_IORQ. Оба эти сигнала заведены на 20-ю ногу CPU, то есть покамест представляют собой одно и то же. Далее, на контакт 24 во втором ряду заведена "земля", но именуется он /ROM_OE. Рядом с ним висит в воздухе A14_ROM. Мне представляется, что существует какая-то готовая периферия для "Ленинград-2", при подключении которой перечисленные выше сигналы необходимы и имеют какой-то смысл. Хотелось бы узнать, что это за периферия и взглянуть на схемы для прояснения вопроса. Кто-то из форумчан в курсе?

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 13 декабря 13:23:35 2022 | ссылка на пост    
Сообщений: 4
Автор: Олег
Добрый день. подкинул мне друг на восстановление "Ленинград-1 48К" (проц. отсутствовал, нашел на авит`е, ПЗУ1 - 2764, ПЗУ2 - РФ4А, все собрано на 555 серии).
... Пыль сдул, отмыл, подключил по SCART, без ПЗУ матрас неправильный -
https://disk.yandex.ru/i/3GInXoXKORlwwg
с ПЗУ белый бордер есть, цвет бордера меняется клавиатурой, звуки нажатия клавиш есть, но в рабочем поле вертикальные полосы и мельтешащие штрихи, текста не видно..
https://disk.yandex.ru/i/JOH9_ayJdXaxWw
... записал на другую ПЗУ (РФ6) тест ОЗУ из журнала Радиолюбитель 1995 №9 (TEST48_2.BIN доработанный тест, проверка всего объёма ОЗУ) судя по широким линиям на бордере все 8 РУ-шек неисправны??
https://disk.yandex.ru/i/aBG45CEzEK8bIg
..выпаял одну РУ-шку, поставил на паненльку, но ничего не изменилось.
затем прошил модифицированный тест test48k.bin кусочек видео этого теста -
https://youtu.be/Sj9mJYna6NI
в конце теста, где должен быть текст, всесто текста обрывки букв -
https://disk.yandex.ru/i/Jxuxf3sRfOyWgg
... прошу помощи, может кому то явно что то указывает на неисправные микросхемы?

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 19 декабря 03:36:10 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: VMS
Олег, насчёт полной неисправности памяти — предположение сомнительное. Если клава работает, и система выполняет команды типа BORDER 0, BORDER 7, то память работает. При нерабочей памяти это невозможно. Думаю, возможная заковыка — в видеоконтроллере: в памяти компьютера изображение хранится, но неправильно выводится на экран. Я при поиске такой неисправности воспользовался бы осциллографом, без него — х.з. как искать. Можно какие-нибудь пробники на светодиодах или звуковые собрать, ну и хорошее понимание работы схемы очень помогло бы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 19 декабря 14:14:19 2022 | ссылка на пост    
Сообщений: 4
Автор: Олег
осциллограф имеется, книжку по настройке читал, но методику поиска в моём случае пока не понял... а пока все прозваниваю последовательно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 19 декабря 17:25:06 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: VMS
В книжке, помнится, осциллограммы есть...
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 20 декабря 13:27:56 2022 | ссылка на пост    
Сообщений: 4
Автор: Константин Айги (CXEMATEKA.RU)
Олег, скорее всего, неисправна именно оперативная память. Это тот случай, когда она работает частично, соотв. компьютер может даже запускаться с родной ПЗУшкой, но работать стабильно не будет. Судя по видео, как минимум две рушки под замену: D25 (на шине D4), D27 (на шине D6). Я в таких случаях, всегда ставлю всю оперативную память на панельки.

серж, возможно включен второй экран по умолчанию (если это клон 128к) или же проблема с мультиплексорами памяти (отображается верхняя область ОЗУ, вместо нижней - экранной области). Может быть и просто проблема с ОЗУ. Не мешало бы тест прогнать.

VMS, у разных отечественных клонов были собственные, как правило, несовместимые друг с другом периферийные разъемы. Совместимые платы расширения выпускались только для некоторых клонов, например, для компьютеров "Байт". Возможно и было что-то для "Ленинграда-2", но мне не попадалось. Обычно спаивались специальные переходники под те контроллеры, что удавалось достать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 20 декабря 19:25:37 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Олег
Константин,я пока поменял одну РУ-шку D22. попробую поменяю 25-ю и 27-ю.
сегодня смотрел сигналы от D36 вглубь схемы, и заметил что на D36 выв.13 и 14 с D31.13(QC) ничего не приходит, хотя на D31.5(сигнал MD6)пачки приходят.
а так же на D31.12(QD) тоже тишина, хотя на D31.6(сигнал MD7)пачки тоже приходят.
... при этом пробую в слепую набирать команды "BORDER" - при BORDER 0 на D30.3 D31.3 D31.4 устанавливаются "0", при BORDER 7 на D30.3 D31.3 D31.4 устанавливаются "1"
..вопрос - D31(КП13) порты QC и QD неисправны??

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 20 декабря 22:13:28 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Олег
заменил РУ5 D25 и D27. картинка немного поменялась.
матрас (без ПЗУ) -
https://disk.yandex.ru/i/WjeOUQPUdMozHw - ушли вертикальные белые полосы, черточки остались, но и они пропадают при удержании ресета.
матрас (без ПЗУ)с удержанием ресета -
https://disk.yandex.ru/i/EttyVnaQp0sHnw
тест оперативки - по прежнему широкие полосы на бордере -
https://disk.yandex.ru/i/VfwT6GNxhvNZgg
а так теперь выглядит с ориг. ПЗУ (на клаву есть реакция, команды в слепую набираются... -
https://disk.yandex.ru/i/6_c56HF03ecxHgи
с нажатым ресетом -
https://disk.yandex.ru/i/haP8VCYrxcWHng
--------------
почему бордер белый а page черный ???

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 21 декабря 11:47:17 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Олег
.. заменил D31 (КП13) картинка без изменений..
смена бордеров командами с клавы -
https://disk.yandex.ru/i/xnR8-8aLB_lYJQ

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 21 декабря 20:57:39 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Олег
в общем, промежуточный итог -
т.к. с первого дня, как появился у меня этот экземпляр, я начал с того, что прошерстил отчеты, форумы, обсуждения по "ленин-у", и напаял некоторые доработки перед первым включением:
1. Стабилизация тактового генератора
2. Нормализация строчной развертки (для кварца частотой 14000 КГц)
3. Стабилизация кадровой развертки
4. Корректировка прорисовки линий (дуги, окружности и т.п.)
отсюда - https://www.cxemateka.ru/v1/Other.png
...
пришло время включать, и пришлось "копапть"
...
п.2 убрал еще на прошлой неделе, т.к. был срыв картинки..
п.3 тогда же убрал, т.к. никаких изменений визуально не было..
после замены РУ5 D25 и D27 (по совету Константина) ушли некоторые дефекты изображения, но текст так и не появился, и я вдруг решил убрать доработку п.4 - изначально вешал SMD керамику 1000пФ на D10.2-4, после того как её убрал и убрал RC цепочку D34-10(SCR) - D33-1, наконец то увидел почти нормальную картинку только без 1-го столбца -
https://disk.yandex.ru/i/C_mDl4VAbbTYFg
поставил выводной кондер на 1000пФ на D10.2-4, и появился 1-й столбец и знак "копирайт", только с не дорисованной окружностью -
https://disk.yandex.ru/i/Nxy1176vU_ENYQ
и нормальный матрас -
https://disk.yandex.ru/i/dFKDE1zmBgVesg
попробовал вернуть RC цепочку D34-10(SCR) - D33-1, и получил снова "снег" -
https://disk.yandex.ru/i/6_c56HF03ecxHg%D0%B8

... доработки мне парили мозг целую неделю.((
чем теперь "дорисовывать" окружность, если 1000пФ, который это и должен исправлять, уже и так стоит на D10.2-4 ..((

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 декабря 00:55:48 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Константин Айги (CXEMATEKA.RU)
Олег, рекомендуется конденсатор 1000 пФ пока убрать. Затем проверить, как прорисовываются окружности. Если есть проблемы, то для начала надо поставить RC-цепочку в разрез между D34-10 и D33-1. Причём номинал конденсатора подбирается опытным путём в районе 360-600 пФ. Если добиться нормальной картинки не удаётся, тогда устанавливают дополнительный конденсатор на сигнал SCR (D34-11) в пределах 1000-3000 пФ. В общем, тут надо экспериментировать с номиналами конденсаторов. Подробнее об этом в книжке «Ленинград-1 наладка и ремонт» (страница 13): https://www.cxemateka.ru/v1/leningrad-1_naladka_i_remont.rar
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 декабря 03:43:18 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Олег
спасибо Константин, запасусь различными номиналами кондеров, буду экспериментировать..
а пока вывести окружности нормально не получается -
результат - https://disk.yandex.ru/i/IUEaiWPubaZJsA

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 декабря 13:17:42 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Олег
Доброго дня всем, если тест памяти (48k модифицированная версия с этой странички) пишет:
"неисправность микросхемы 3 адрес - B61F"
по схеме ленинграда-48 это ру-шка с позиционным номером D24 с сигналом "D3"? Я верно толкую?

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 25 декабря 12:10:03 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Константин Айги (CXEMATEKA.RU)
Олег, под номером микросхемы в данном случае подразумевается разряд шины данных от 0 до 7. Т.е. да, всё верно: на разряде D3 соответственно стандартной схеме находится D24.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 25 декабря 15:58:33 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Олег
заменил D24, тест теперь в цикле за полчаса не выдал ни одной ошибки. Константин, вопрос - при включении питания при старте теста (только в первом цикле), когда меняются цвета бордера, page заполнен мусором - https://disk.yandex.ru/i/k3k0yxOVMAdUBw , это из за того что при старте в РФ-ках мусор?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 25 декабря 18:06:56 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Олег
опечатался.. .. в RAM мусор?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 25 декабря 18:08:43 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Константин Айги (CXEMATEKA.RU)
При старте тест не очищает экранную область, соотв. на экране отображается содержимое ОЗУ по умолчанию. Рисунок зависит от структуры микросхем памяти. Обычно это выглядит как шахматка. Но если ОЗУ состоит из микса микросхем разных серий, то может быть и мусор на экране.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 25 декабря 19:12:34 2022 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Олег
понял, Константин.
придется наверное перепаять все старые микросхемы RAM (4шт. заменил, поставил на цанговые панельки, ещё осталось 4 выпаять), 40 мин. теста они выдерживают, а далее начинают сыпаться, и, чем дальше, тем хуже...

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 25 декабря 22:10:47 2022 | ссылка на пост    
Олег
Сообщений: 16
Автор: Dmitry Dumanov
Откуда берётся сигнал (Выбор TR-DOS) который поступает на 1D29, 11D1.5.?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 28 декабря 00:37:53 2022 | ссылка на пост    
Автор: Олег
Долгое время никак не мог добиться прорисовки окружности, и не получалось /ss привести к 15`625.
у меня /ss был - 16`198 (на делителе D4 - 15-L,1-L,10-H,9-L) картинка стабильная, но смещена вправо..
кварц 14 МГц ровно, частота генератора - 13`995`630 Гц
пробовал разные предустановки на D4, если выставить как по схеме , 15-L,1-H,10-L,9-L то частота /ss получается - 15`080 Гц и идет раз в несколько секунд срыв картинки..
пробовал предустановку (15-H,1-H,10-L,9-L) - но почему то /ss формируется тоже 16`198 Гц (как и предустановке на "4"). картинка стабильная..
..............
ещё раз внимательно перечитал это - http://zxbyte.ru/leningrad_upgrade.htm#2
там сказано, что для правильной работы DD4 должен быть установлен конденсатор ёмкостью порядка 220 пФ на ногу 11,
а здесь - https://zx-pk.ru/threads/18100-leningrad-p.html?p=467044#post467044 Garryadmin пишет, что для корректной работы D4 необходимо соединить его выв. 12 через конденсатор 200-300 пФ.
Я поставил 220 пФ на 12 вывод, и только тогда получил при предустановке 0.0.1.0 на D4 15620Гц ! И экран встал по центру.
.. только после установки этого конденсатора удалось настроить прорисовку окружности с помощью добавки конденсатора на выв.4 D10 и RC цепочки на 1 D33.

Полезный комментарий + 2 | Бесполезный комментарий - 0
вторник, 3 января 15:36:22 2023 | ссылка на пост    
Олег
Сообщений: 16
Автор: VMS
Привет всем!
Я вижу, что многие стараются, по возможности, оборудовать свой Спектрум дисководом. Удобно, быстрее загрузка и всё такое. Но, с другой стороны, дисковод — вещь громоздкая, дискеты перешли в разряд дефицита, надёжность невысокая, короче — технология прошлого века налицо. Не, тем, кто стремится к этому из ностальгических соображений, я ничего возразить не могу. А тем, кто увлекается творчеством, не пора ли заняться подключением к Спектруму флеш-карточек? В принципе, некоторые уже и занимаются, вот, недавно наткнулся на сайт, где человек ещё в 2006 году немало сделал в этом направлении, но потом исчез, видимо забросил это дело:
https://piters.tripod.com/zx.htm
С аппаратной точки зрения подключение несравнимо более простое, чем для дисковода: для подключения Compact Flash карточки в восьмибитном режиме достаточно одной микросхемки ЛА4 в качестве дешифратора, ну и переходник для карточки понадобится. Вся заковыка с карточками — в программной поддержке. Нужно ПЗУ с программами загрузки и выгрузки файлов. Занимался ли кто-нибудь из вас этим и каковы успехи? Что-то у меня интерес проснулся к данному направлению творчества, есть желание поэкспериментировать.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 15 января 19:29:53 2023 | ссылка на пост    
Сообщений: 4
Автор: Дима E-mail: rebenok34@mail.ru
Добрый день,Константин вот видео одно при тестовой прошивке,другое при системе.Подскажите направление что смотреть?https://disk.yandex.ru/client/disk?idApp=client&dialog=slider&idDialog=%2Fdisk%2FIMG_0312%5B1%5D.MOV
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 февраля 15:47:04 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Дима, ссылка на видео не рабочая. Проверьте.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 февраля 17:11:28 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dima_50
Добрый день Константин.Подскажите куда смотреть дальше.https://disk.yandex.ru/client/disk?idApp=client&dialog=slider&idDialog=%2Fdisk%2FIMG_0312%5B1%5D.MOV
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 15 февраля 19:55:44 2023 | ссылка на пост    
Сообщений: 2
Автор: Dima_50
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Dima_50, очевидно проблема в связке процессор+ПЗУ. Если при запуске тестовой прошивки не бегут линии по бордюру, то есть большая вероятность, что неисправен сам процессор. Если процессор исправен и это проверено, тогда извлекается ПЗУ и процессор. Затем проверяются основные шины и сигналы процессора:
1. Наличие и правильность тактового сигнала (вывод 6 Z80).
2. Состояние шины адреса (A0-A15) – сигналы обычно в среднем состоянии, однако уровень может быть не везде одинаков, т.к. отдельные разряды шины адреса имеют дополнительную нагрузку.
3. Шина данных (D0-D7) должна быть в единице.
4. На 16-й ноге Z80 (INT) должен быть короткий сигнал.
5. Сигнал RESET должен быть в единице и уходить в ноль по нажатию на соотв. кнопку.

При включении компьютера без ПЗУ, но с процессором, на A15 (пятый вывод Z80) будет пульсирующий сигнал, на A14 похожий, но с большей частотой и так по возрастающей вплоть до A0. На D0 будут прямоугольные импульсы, D1 - смешанный сигнал (пила, прямоугольник), D2 - пила, D3/D4/D5 - прямой угол, D6/D7 - пила.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 февраля 04:39:23 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Dima50
Константин добрый день вот видео с тестовой прошивкой https://disk.yandex.ru/i/idV_AjTKmCNdDw. в ней несколько тестов по окончанию теста надписи о прохождении теста,у меня тест проходит но все в штрихах.это самое начало видео где должен быть текст.вывод 6 норм,матрас есть,остальное еще не мерял.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 февраля 11:02:28 2023 | ссылка на пост    
Автор: Dima50
померял сигналы вроде как все в порядке,d1 смешанный-там просто пила,
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 февраля 12:22:37 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Dima50, видео прохождения теста по ссылке выше посмотреть не получается... На D1 вполне может быть и пила - это нормально. Если "матрас" есть и тест проходит безошибочно, то проблему нужно искать в видеоконтроллере. В некоторых случаях, проблема может быть и в оперативной памяти, даже если тест ОЗУ ошибок не находит. Если штрихи вертикальные и шириной в один пиксель, то проблему легко локализовать, вычислив в каком разряде шины данных сбой. Есть такой простой спартанский метод, которым некоторые пользуются: во время появления вертикальных штрихов на экране кратковременно замыкают выводы 14 РУ5 на землю (последовательно от MD0 до MD7). Обычно микросхеме это не вредит и можно быстро понять, где проблема.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 16 февраля 22:59:37 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Roman75
Константин. Вопрос по поводу Harlequin 128 с "натовским" NEC D765AC-2 контроллером дисковода на борту. Можно ли в принципе запустить на нём TR-Dos? Плату собрал, 8 bit IDE сделал, с CF карты запускается почти всё, прошивка +3Е запускает ТАР файлы. Но TR-Dos мне не по зубам...
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 25 февраля 18:57:15 2023 | ссылка на пост    
Автор: VITamin_dws
Добрый день! Достал из коробки свой старый Ленинград 1 128 с контроллером диска и музыкальным процессом. ОЗУ две линейки ру5 запаяны 7 шт. Одна на панельке, процессор тоже запаян. ПЗУ-0 27128. ПЗУ-1 27256 на панельках. После включения на экране никакой информации не выводится просто белый квадрат, щелчков клавиатуры нет. Картинка не менется с ПЗУ или без . Вынимал D26 ру6 и DD41 ир22 , картинка не меняется, на процессоре есть все сигналы кроме WAIT, BUSRQ, сигналы NMI, IORQ какие то странные. смотрел осцилографом С1-73.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 февраля 18:34:50 2023 | ссылка на пост    
Сообщений: 6
Автор: VITamin_dws
На 14 ногах РУ5 1.5-2v без данных, RAS - есть , CAS -логическая 1. На 2-рых ножках РУ5 есть данные.
Подскажите куда дальше копать. Спасибо.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 февраля 18:47:29 2023 | ссылка на пост    
Сообщений: 6
Автор: Dima50
Добрый день,Константин тест проходит полосками закрашивается экран и по окончании теста буквы не видно (надпись об окончании тетста,бледные полосы где должен быть текст).Почему с пзу не грузится система ?Что формирует видеоконтроллер?https://disk.yandex.ru/i/hxtgsBrg8oY_eA
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 26 февраля 21:08:48 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Roman75, для поддержки TR-DOS нужен контроллер на базе КР1818ВГ93 (MB8877A). Такой можно подключить к клону Harlequin.

VITamin_dws, симптомы типичны для полностью неисправного ОЗУ. Все проверки в подобном случае делают без ПЗУ. Состояние основных шин процессора легко проверить осциллографом, как раз обсуждалось чуть выше: https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p1086

На сигналах процессора BUSRQ и NMI должна быть единица. Если там что-то другое, то надо проследить, нет ли замыкания с чем-то посторонним и присутствует ли резистор, подтягивающий эти сигналы к +5В.

Dima50, в этом случае полезно прогнать тест с заполнением экранной области:
http://www.cxemateka.ru/v1/test48k_org.bin

Как этот тест должен работать, можно посмотреть в любом эмуляторе Спектрума, заменив ПЗУ 48К на эту прошивку.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 2 марта 11:43:22 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: VITamin_dws
Спасибо Константин, поменял D13 , появился CAS, память заработала, теперь появилися матрас без ПЗУ. Вставил ПЗУ на экране шахматка или темный экран. Решил перейти с двух ПЗУ на одну 27512 с Вашей прошивкой. пробовал и тестовою на 128. Возник вопрос по подключеню 1 и 27 ножкой. У меня плата расширения ОЗУ с музыкальным процессором 2149 и контроллер дисковода с тремя РТ4( не знаю точно модель) нет схемы подключения.
На плате расширения есть сигнал ROMCS, на плате контроллера сигнал ROMCS(инверсный) DOS и ЕDOS. Попробовал разные комбинации, пока не работает.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 3 марта 21:56:59 2023 | ссылка на пост    
Сообщений: 6
Автор: VITamin_dws
Добрый день, поменял все ОЗУ на 41256 по вашей схеме расширения. Несколько раз удалось запустить игрушки с диксовода, решил подключить музыкальный процессор, не заработал , отключил все назад, тоже не работает, на экране шахматное поле 4х6 черно-белые квадраты, с ПЗУ и процессором и без них. Вставляю тестовою прошивку , тест запускается, видны полосы бордюра, а шахматное поле остается, где копать уже не знаю.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 марта 14:26:44 2023 | ссылка на пост    
Сообщений: 6
Автор: VITamin_dws
Сигналы на процессоре сооответствуют как на вашей ссылке
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 12 марта 17:35:23 2023 | ссылка на пост    
Сообщений: 6
Автор: Константин Айги (CXEMATEKA.RU)
VITamin_dws, похоже, что не работает запись в ОЗУ. Надо проверить прохождение и формирование сигнала /WE (D9-8), входы 3 41256.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 13 марта 05:50:47 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: VITamin_dws
Добрый день Константин, так и оказалось,когда подкючал музыкальный проц оборвал проводк и подпаял на соседнюю ножку, исправил, все заработало, теперь пытаюсь разобраться с играми на дискетах не все толком работают или не догружаются или сбрасываются, но поиграться есть с чем. Еще раз огромное спасибо за помощь!!!!!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 13 марта 17:56:59 2023 | ссылка на пост    
Сообщений: 6
Автор: colpamax
Добрый день. Хочу поделиться своим опытом. Может быть кому-то пригодится. Воодушевлённый каналом Константина решился восстановить ZX Spectrum, который отдали моему отцу на ремонт ещё в 90-х. Он так и остался лежать невосстановленный. Пришёл его черёд. Эта версия оказалась Львов-48k. Нашёл схему на него, осциллограммы в контрольных точках. Если кому-то будет нужно подкину ссылочки. Неисправны были одна из микросхем К555КП12 мультиплексора видеопамяти - не корректно работала нижняя треть экрана. И срывалась синхронизация по строкам - неисправен один из выходов к561ле5 в схеме генерации ССИ. Хочу внести дополнения в некоторые комментарии Константина.
1) Порой ПЗУ-тест видеопамяти, который Константин исключил из тестовой прошивки очень полезен - он мне помог идентифицировать и найти неисправность мультиплексора видеопамяти к555КП12.
2) В оригинальной прошивке для того, чтобы пропустить этот тест и сразу перейти к тесту ОЗУ достаточно нажать и удерживать SPACE во время, когда меняются цвета бордюра.
3) Утилита для преобразования TAPE2WAV, ссылку на которую Константин давал в комментариях в моём случае работала некорректно. Все программы, преобразованные ею, которые я пытался загружать выдавали в конце R Tape Loading Error X:X с разными кодами. При том, что процесс загрузки шёл совершенно нормально, загружалась картинка и т.п. Сбоя при загрузке промежуточных блоков не происходило, только в самом конце.
В то же время, те же образы TAP и.т.п., загруженные через TEEZIX работают прекрасно.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 марта 13:01:42 2023 | ссылка на пост    
Автор: colpamax
Да, ещё хочу добавить по поводу TAPE2WAV. Возможно, что проблема не в этой утилите. Потому что при прямой и обратной конвертации с помощью Wav2Tap исходный и конечный файлы TAP совпадали.
Возможно, что плейер телефона не доигрывает файл до конца, что и вызывает сбой в конце загрузки.
Встречал в интернете упоминания, что люди в конец WAV файла дописывали один байт 0xFF и это решало проблему. Но сам не пробовал.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 17 марта 16:43:28 2023 | ссылка на пост    
Автор: имдра ымдр
Я использую PlayZX https://play.google.com/store/apps/details?id=com.baltazarstudios.playzxtapes, проблем небыло. Да и конвертировать ничего не нужно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 18 марта 15:35:25 2023 | ссылка на пост    
Сообщений: 7
Автор: colpamax
Разобрался с проблемой загрузки файлов, преобразованных утилитой TAPE2WAV. Утилита - прекрасно работает. Проблема в плейере телефона. Он по каким-то причинам немного не доигрывал до конца WAV-файл (какие-то доли секунды). Из-за этого происходил сбой в конце загрузки. Я добавил в конец каждого WAV-файла 1 секунду тишины и все программы стали загружаться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 24 марта 16:23:57 2023 | ссылка на пост    
Автор: Анатолий E-mail: balan_58@bk.ru
Здравствуйте.Тоже решил восстановить свой спектрум.У меня такой вопрос:можно ли ППЗУ поставить во вторую панельку,а первую не задействовать?Спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 4 апреля 16:02:33 2023 | ссылка на пост    
Автор: colpamax
Можно. Для этого необходимо изменить микросхему. Если у Вас 2764 (К573РФ4 или К573РФ6), то необходимо использовать 27128. При этом немного изменить схему следующим образом: нужно провести на 26 ножку микросхемы линию адреса A13 и сформировать правильный CS (20 вывод), чтобы выборка микросхемы выполнялась при обращении к обеим панелькам. Для более точных подробностей нужна ваша схема. Можно использовать также микросхему 27256, но там тоже есть нюансы.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 6 апреля 10:32:29 2023 | ссылка на пост    
Автор: alex
VMS, к вопросу о загрузке с флеш-карточек. Приобрёл я на AliExpress набор для сборки эмулятора магнитофона для Спектрума. Спаял его и он сразу заработал. Вещь великолепная! Работает с TAP-файлами. Ме́ста они занимают примерно в 250 раз меньше чем MP3 и где-то в 500 раз меньше чем WAV файлы! Игры с него загружаются намного лучше, чем с MP3 плеера. Не было ещё ни одного сбоя! Могут загружаться со скоростью: х1, х2, х4. 32-х значный индикатор показывает названия игр, их порядковый номер, количество блоков и байтов в них. Яркость индикатора регулируется. Потребляет около 25mА при питании +5V. На SD карту размером всего 32Mb (max до 2Gb) игр вмещается немерено и ещё столько же места остаётся. Брал здесь: https://aliexpress.ru/item/1005002293698929.html. Всем удачи.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 15 апреля 00:42:07 2023 | ссылка на пост    
Автор: Борис E-mail: dzgo@yandex.ru
VMS, к вопросу о загрузке игр с флеш-карточек. Описанный alex эмулятор магнитофона для Спектрума можно купить на Мешке уже собранный https://meshok.net/listing?good=2086&user=339658. Там же предлагается и другой вариант ZX магнитофона TzxDuino для загрузки TAP и TZX файлов.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 26 апреля 15:12:43 2023 | ссылка на пост    
Автор: Antipko
Здравствуйте Константин, Всем привет! Прошу Вашего совета. Если коротко, ЭВМ не грузит игры. Нет сообщений типа, тапе еррор, после загрузки появляется страничка с выбором джойстиков, сложности итп. При нажатии кнопки старта, либо происходит рестарт ЭВМ, либо все виснет с белибердой на экране. Теперь по подробнее: ЭВМ- бердский форум бк 09 турбо на т34вг1, 95 года выпуска. Особенность ЭВМ 32 кб ПЗУ. В свое время хотел апгрейдить до 128 кб, пришлось выпаивать процессор, пзу и озу, сажать на кроватки. Апгрейд не вышел (не до конца понимал что надо делать) все вернул на место. Единственное, спалил пзу не так вставив. Пришлось заменить на ат49002нт, заодно поставил три джампера на старшие разряды пзу, что позволило залить в первые 32кб оригинальный дамп, во вторые 32 дамп 128 кб спектрума, а в последние 32 залил тестер озу от Прусака. И все работало. Потом ЭВМ лежало лет 15 в гараже (сухом), потом я о нем вспомнил и решил запустить, заработало но плохо, точнее сказать, грузится заставка с меню, набираются программы на бейсике, а вот тест озу не проходит. Причем ошибка плавающая в районе 0х8ххх. В результате я сделал три платки: на одной 64Кх16-35нс, на второй 512Кх8-45нс, на третьей 64Кх16-80нс. Все три тест озу проходят отлично, но не грузят игры с ленты. Да, вместо магнитофона использую планшет. Нормально грузтится бейсиковая часть, картинка, возможно и бинарник. И все :( Да вот еще что... Думал, оригинальная прошивка сбоит, записал в третьи 32 кб оригинальную спектрумовскую прошивку от 1982 года. Результат тот же. Также заметил, что если несколько раз нажать рестарт, то загрузка бейсика виснет либо недогруженной начальной страницей, либо просто черным экраном. Есть еще проблемка, но не критичная, на мой взгляд. О ней, если что в следующий раз. С уважением.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 3 мая 10:33:29 2023 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Antipko, тут на два момента стоит обратить внимание. Рекомендуется проверить порт клавиатуры. А именно диоды адресной шины клавиатуры. Кроме того, надо проверить есть ли резистор порядка 300 Ом между выводом 35 ULA и сигналом CAS ОЗУ. Можно попробовать стабилизировать работу ОЗУ подбором номинала этого резистора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 17 мая 15:15:46 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: vitgo E-mail: gorbukov@yandex.ru
да нет, у него похоже верхний банк озу битый.. для бейсика хватает.. и не более...
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 20 мая 16:03:45 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
vitgo, возможно всякое, однако у Antipko три набора микросхем памяти. Вряд ли все три набора ОЗУ сбойные. Кстати, проблема может крыться ещё в недостаточно мощном и стабильном блоке питания.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 мая 00:04:50 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Артём
Здравствуйте! Можете сказать размеры платы (в миллиметрах), просто я уже купил текстолит и монтирую плату на компьютере вручную. ОТВЕТТЬЕ УМОЛЯЮ
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 мая 22:02:26 2023 | ссылка на пост    
Автор: Артём
Здравствуйте! Можете сказать размеры платы (в миллиметрах), просто я уже купил текстолит и монтирую плату на компьютере вручную. ОТВЕТТЬЕ УМОЛЯЮ
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 мая 22:05:01 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Артём, у стандартной платы "Ленинграда" размер в пределах: 205x120 мм.
Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 22 мая 01:31:37 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Борис E-mail: dzgo@yandex.ru
Артём, плата имеет много переходных отверстий и нужное качество вряд ли удастся обеспечить. Могу выслать плату Ленинград-1 (SBLive.narod.ru), изготовленную в Китае. Плата проверена сборкой компьютера. Надо лишь запаять одну маленькую перемычку, указанную на монтажной схеме. Если согласны, то прошу ответить на E-mail для уточнения деталей.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 мая 03:22:42 2023 | ссылка на пост    
Автор: Artem
Борис, спасибо не надо я уже плату сам делаю.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 мая 08:11:36 2023 | ссылка на пост    
Сообщений: 3
Автор: Зодчий Алекс
Артём, измерил, размер платы "Ленинграда" с маркировкой "1988 ZX Spectrum □ ZS Research" составляет 200х116 мм. Есть у меня и вторая чуть поуже точно с такой же маркировкой размером 200х114 мм.
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 23 мая 00:42:01 2023 | ссылка на пост    
Автор: Artem
А я уже делаю 205x120.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 мая 08:23:05 2023 | ссылка на пост    
Сообщений: 3
Автор: Artem
Константин Айги, а если плату печатать размером: 205x120 это же нормально получится?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 мая 08:35:04 2023 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Artem, если плата делается под конкретный корпус, то итоговый размер нужно выбирать исходя из размеров корпуса. А в целом, это не так важно. Главное, чтобы всё на плату уместилось. Для примера, вот фотка моего «Ленинграда» рядом с версией «Композит», у которого плата чуть меньше:



На фото хорошо видно, что некоторые детали «Композита» расположены слишком близко к кромке платы. На моём же «Ленинграде» этой проблемы нет, т.к. плата расширена примерно на пять миллиметров по горизонтали и где-то на четыре миллиметра по вертикали.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 мая 14:17:33 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Radlubit
Добрый день! Собрал Ленинград 48, столкнулся с проблемой - не работает клавиатура. Проверил контакты, соединение до платы компьютера доходит. Посмотрел осциллографом мультиплексоры КП11, на входе сигналы с клавиатуры есть, а сигнал IORD постоянно в единице и выходы мультиплексоров заперты.
При этом всем компьютер выдает стабильное изображение, без пзу выводит ровные полосы, тесты памяти проходит успешно.
Подскажите пожалуйста, что я делаю не так, это мой первый опыт сборки спектрума, возможно я что-то не учел.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 31 мая 00:07:31 2023 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Radlubit, надо проверить элемент D14A, на котором формируется IORD. IORD формируется из сигналов процессора RD (запрос на чтение) и IORQ (запрос портов ввода-вывода). Если элемент D14A исправен, то надо проверить наличие сигнала INT (вывод 16 Z80). Без правильно сформированного сигнала INT клавиатура работать не будет. Проблема также может быть и с самим процессором.
Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 31 мая 11:29:25 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: ZSol E-mail: alex.zaytsev24@gmail.ru
Доброго времени суток. Подскажите, пожалуйста, направление поиска. Восстанавливаю Ленинград после "аккуратной" работы КМ-изымателей. Застрял на таком этапе: При включении питания, при наличии процессора, на экране появляется стандартный "матрас" с неплохим качеством синхронизации.При установке тестового ПЗУ тест не запускается, бордюр мигает меняя цвет, на основном поле матрас с мигающими артефактами.
Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 1 июня 22:03:12 2023 | ссылка на пост    
Автор: ZSol E-mail: alex.zaytsev24@gmail.ru
P.S. И процессор и ПЗУ стабильно работают на аналогичной плате.
Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 1 июня 22:08:40 2023 | ссылка на пост    
Автор: ZSol E-mail: Alex.zaytsev24@gmail.com
Нашел дефект, проблема была схеме выбора памяти, микросхема D12 555ЛА3, не было нормального сигнала RDROM. Вообще, проблемный образец попался, много поврежденных дорожек, после запуска теста выявило битую РУ5. Сейчас воюю с видео формированием, на стартовой странице бордюр ярче чем основная область экрана.
Полезный комментарий + 2 | Бесполезный комментарий - 0
вторник, 6 июня 08:59:20 2023 | ссылка на пост    
Автор: ZSol E-mail: Alex.zaytsev24@gmail.com
Может кому-то пригодится. Нашел причину разной яркости бордюра и основной области экрана, отсутствовало соединение с 10 выводом микросхемы D31 (КП13) сигнал /BORDER, неправильно формировался атрибут яркости знакоместа.
Полезный комментарий + 2 | Бесполезный комментарий - 0
четверг, 8 июня 12:06:52 2023 | ссылка на пост    
Автор: Стёпа
Здравствуйте уважаемый Константин ! Хочу свой лененград 1 проопгрейдить до 128 к. Выбрал схему с двумя линейками ру5 всё понял кроме одного , как именно припаять второй ряд на первый ? Заранее спасибо !
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 9 июня 09:05:12 2023 | ссылка на пост    
Стёпа
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Стёпа, тут как раз об этом:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p196

Если существующая линейка РУ5 исправна, то смысл есть – не нужно выпаивать микросхемы. Минус – несколько большее энергопотребление в сравнении с вариантом на РУ7 (41256 DRAM).

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 9 июня 10:34:36 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Стёпа
Константин есть ещё вопрос , на схеме с двумя линейками ру5 есть контакт с надписью Выбор ПЗУ 128к ,что он значит ? Куда его подключать ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 9 июня 16:36:58 2023 | ссылка на пост    
Стёпа
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
У Спектрума 128К, ПЗУ двухстраничное. Нижний банк (8Кб) содержит бейсик 128К. Верхний банк (8Кб) содержит слегка модифицированную стандартную прошивку Спектрума 48К. Соответственно ПЗУ 27C128 необходимо будет заменить на 27C256. При этом вывод 27 (A14) ПЗУ 27C256 нужно будет отсоединить от +5В и соединить с сигналом "Выбор ПЗУ 128К".
Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 10 июня 01:44:30 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Стёпа
А если у меня W27C512 ,то тоже надо 27 вывод подключить к выбор пзу 128к?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 10 июня 05:00:05 2023 | ссылка на пост    
Стёпа
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Да, если W27C512 прошить так:
#0000-#7FFF пусто
#8000-#BFFF SOS128k
#C000-#FFFF SOS48k

Ну, или просто взять эту комбинированную прошивку:
http://www.cxemateka.ru/v1/27512.bin

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 10 июня 15:15:06 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Стёпа
А в случае использования w27c512 и сигнала выбор пзу 128к нужно выполнять дороботку по установке 27с512 ? :
https://www.cxemateka.ru/v1/Other.png

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 июня 17:32:35 2023 | ссылка на пост    
Стёпа
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Если контроллер дисковода не планируется подключать, то можно обвязку, показанную на этой схеме не делать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 июня 20:48:21 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Стёпа
Константин ,не могли бы вы (если у вас есть) отправить простую схему контроллера дисковода который может работать с этой прошивкой :http://www.cxemateka.ru/v1/27512.bin
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 16 июня 12:05:57 2023 | ссылка на пост    
Стёпа
Сообщений: 10
Автор: имдра ымдр
Самый простой, по моему, nemo-fdi. https://zx-pk.ru/threads/30533-dorabotka-nemo-fdi.html Микросхемы с али можно заказать (зарубежные аналоги). Заработала сразу. Есть tr43 и ФАПЧ.
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 16 июня 16:48:52 2023 | ссылка на пост    
Сообщений: 7
Автор: Константин Айги (CXEMATEKA.RU)
Стёпа, самый простой вариант контроллера, пожалуй, этот:
https://zx-pk.ru/threads/15819-kontroller-bdi-orel-bk-08-podgotovka-k-proizvodstvu.html

Ну, а прошивку http://www.cxemateka.ru/v1/27512.bin можно использовать с любым контроллером на ВГ93 (MB8877A).

P.S. Книга по контроллерам TR-DOS:
https://www.cxemateka.ru/ftp/bdi.zip

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 21 июня 02:19:33 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Стёпа
Константин ,к стати вам не нужно содержимое пзу компьютера мастер для вашей коллекции . При запуске выводиn didaktic scalica
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 22 июня 13:55:00 2023 | ссылка на пост    
Стёпа
Сообщений: 10
Автор: Константин Айги (CXEMATEKA.RU)
Стёпа, такая прошивка в моей коллекции есть )
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 27 июня 20:50:41 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
Приветствую, Константин. Приведите, пожалуйста полный список номиналов конденсаторов. На сайте http://sblive.narod.ru есть список, но он не соответствет номиналам приведенным в принципиальной схеме на вашем сайте. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 19 июля 10:37:24 2023 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
superuser, на странице http://sblive.narod.ru/ZX-Spectrum/Leningrad48k/Leningrad48k.htm список номиналов правильный. Там конденсаторов всего 7 штук, не считая блокировочных (в скобках узел, где элемент задействован):
C1 - 10mF (RESET)
C2 - 33n (INT)
C3 - 22n (TAPE IN)
C4 - 0,1 (TAPE IN)
C5 - 6n8 (TAPE OUT)
C6 - 0,6 (TAPE OUT)
C7 - 22n (TAPE IN)

Нумерация элементов по этой схеме:
https://www.cxemateka.ru/v1/LeningradSCH180dpi.gif

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 20 июля 07:44:07 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
Константин, а вот C4 - 0,1 и C6 - 0,6 это в микрофарадах? И блокировочные конденсаторы это которые идут на контакты питания 47n - 68n?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 20 июля 09:58:20 2023 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Проверил по старым схемам:
C6 ставится в пределах 68 нФ – 100 нФ (0,1 мкФ)
C4 - 0,1 мкФ

Да, блокировочные конденсаторы ставят по линии питания из расчета минимум один конденсатор на два корпуса микросхем ТТЛ 1533. Если используется микс из серий 155/555, лучше ставить больше блокировочных конденсаторов. Номинал в пределах 47 нФ – 100 нФ (0,1 мкФ).

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 20 июля 13:07:59 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
Константин, а какими зарубежными аналогами можно заменить транзисторную сборку T2(1NT251)?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 июля 09:47:49 2023 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
У 1НТ251 аналогов нет. Эти сборки до сих пор выпускаются, в продаже найти не проблема. Правда, деталь не дешевая.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 июля 10:50:21 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
Да, но я имел ввиду, вместо сборки, поставить 4 отдельных транзистора. Только вот какие, посоветуйте.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 22 июля 11:35:06 2023 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Вместо 1НТ251 в «Ленинградах» иногда ставили четыре транзистора КТ315:


Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 22 июля 13:27:51 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: dzgo
superuser, вместо 1НТ251 в «Ленинградах» можно припаять к контактным площадкам КТ3102АМ, КТ368АМ и другие с похожей цоколевкой.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 27 июля 12:28:01 2023 | ссылка на пост    
Автор: Sergey_Meln
Здравствуйте :) Подскажите пожалуйста, как прикрепить фото к сообщению?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 30 августа 07:47:38 2023 | ссылка на пост    
Сообщений: 2
Автор: Константин Айги (CXEMATEKA.RU)
Sergey_Meln, картинки здесь можно вставлять, используя соотв. HTML тэг:
<img src=ссылка_на_картинку>

Можно таким образом встроить картинку из фотоальбома в профиле. А можно загрузить картинку на сторонний фотохостинг и вставить сюда, опять же, используя соотв. HTML тэг.

Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 30 августа 19:36:26 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
Константин, приветствую! А как залить прошивку sos48k_only.bin (16K) на чипы 27C64? Они же по 8К. Разделить файл пополам и заливать на два чипа? Или подскажите пожалуйста, какую прошивку можно использовать для этих чипов. Спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 сентября 17:53:37 2023 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
И еще вопрос. А где на плате подавать общее питание для всей схемы? Правильно ли я понимаю, что достаточно +5В?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 10 сентября 20:01:04 2023 | ссылка на пост    
Сообщений: 8
Автор: Константин Айги (CXEMATEKA.RU)
superuser, да, 5-ти вольт достаточно, если не используется контроллер дисковода на ВГ93.

Например, вот так запитана моя плата «Ленинграда»:



Два чёрных провода идут на землю. Один запаян в центре платы к утолщенным дорожкам, другой в районе ОЗУ. Красный провод +5В запаян к утолщенной дорожке в центре платы. Там есть ещё желтый +12В, который идёт к разъёму контроллера дисковода. Провода лучше выбирать потолще (в разумных пределах).

Полезный комментарий + 1 | Бесполезный комментарий - 0
понедельник, 11 сентября 07:16:19 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: superuser
Собрал наконец то. Подключил через GBS8200 к ЖК телевизору VGA кабелем, но пишет, что нет сигнала. Подскажите пожалуйста, куда в первую очередь смотреть. Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 25 сентября 12:57:13 2023 | ссылка на пост    
Сообщений: 8
Автор: Boris E-mail: dzgo@yandex.ru
superuser, "Ленинград" выдает слишком большой уровень сигнала SYNC 2,5-3 Вольта. Надо в разрыв провода SYNC впаять резистор 680 Ом для снижения уровня до 1,2-1,5 Вольт, такого же как у RGB сигналов. После этого изображение должно появиться.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 25 сентября 13:41:20 2023 | ссылка на пост    
Автор: superuser
Boris, про резистор 680 Ом на SYNC знаю, он установлен практически сразу после покупки GBS8200.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 сентября 09:35:26 2023 | ссылка на пост    
Сообщений: 8
Автор: Boris
superuser, ну тогда, если на телевизоре есть разъем RCA ("тюльпан"), выход "V" с "Ленинграда" можно подключить кабелем с "тюльпаном" к этому разъему. Должно быть четкое черно-белое изображение. Для выхода "V" должны быть распаяны 5 резисторов.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 26 сентября 15:50:35 2023 | ссылка на пост    
Автор: Rad1ubit E-mail: radlubit.main@gmail.com
Здравствуйте! Собрал Ленинград с доработкой до 128к, как у вас. Все прекрасно работало, но по какой-то неведомой причине он решил выйти из строя.
В меню 128 нижняя часть экрана в артефактах, в режиме 48 в нижняя треть экрана черная. При этом в 128 есть правильная реакция на клавиатуру, в 48 - ноль реакции.
Из меню 128 программы с магнитофона грузятся, загрузил SpecAY с записанным треком - с чипа AY вместо музыки - мусор, при этом так же низ экрана в артефактах, некоторых надписей нет.
Пробовал заменить всю память, менять процессор - результат тот же. Единственное что я еще не смог проверить, так это ПЗУ и его прошивку
Не знаю почему это произошло, возможно сопля илм обрыв, возможно статикой убил.
Подскажите пожалуйста, где нужно копать при таких дефектах??
Фото прикрепляю - https://disk.yandex.ru/i/PzXvipg6Dif21g

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 02:40:30 2023 | ссылка на пост    
Автор: Rad1ubit E-mail: radlubit.main@gmail.com
В дополнение к вышесказанному. Пробовал запустить без пзу - на экране нормальные синие вертикальные полосы без искажений.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 02:44:56 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Rad1ubit, похоже, проблема в области адресных мультиплексоров. Видно, что картинка смещена в области атрибутов. Т.е., что-то не так с формированием адреса экранной области. Надо проверять мультиплексоры D17, D18, D19. А именно входящие сигналы со счетчиков: V0-V7, H1-H7, а также адресную линию ОЗУ - MA0-MA7. Вполне вероятно где-то в этой области что-то перемкнуло. В этом случае одна из микросхем может греться сильнее нормы. Выход из строя одного из мультиплексоров тоже нельзя исключать.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 14:29:41 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Rad1ubit
Спасибо вам! Да, действительно, мультиплексор D19 вышел из строя, т к осциллографа на момент не было под рукой, попробовал нацепить сверху D19 живую микросхему - картинка нормализовалась. Попробую поменять, надеюсь, что только в ней была причина
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 16 октября 21:47:28 2023 | ссылка на пост    
Автор: Андрей E-mail: kraninandrej@yandex.ru
Здравствуйте. Я собрал Ленинград 2 48к.И есть вопрос не подскажете где взять прошивки ПЗУ по 8к. Нигде не могу найти везде версия 16к.И ещё вопрос почему то нет видео по AV которое чёрно белое должно вроде быть а по RGB всё ок всё показывает и тесты все проходит.Спасибо заранее.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 20 октября 16:15:57 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Андрей, про прошивку на двух микросхемах по 8К:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p977

У «Ленинграда» длительность синхронизирующего импульса строк нестандартная – обычно порядка 9 микросекунд (при норме в 4,7 микросекунд). Далеко не все современные ТВ такой видеосигнал понимают.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 октября 15:42:48 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Андрей E-mail: kraninandrej@yandex.ru
Спасибо. Значит если через AV надо делать мод. У меня просто маленький монитор он видимо не понимает.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 21 октября 17:27:12 2023 | ссылка на пост    
Автор: Григорий Мосин
Константин Айги
можно менять ир16 на ир1?

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 3 ноября 17:04:54 2023 | ссылка на пост    
Автор: Григорий Мосин
ир16 на ир1 это в композите
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 3 ноября 17:10:12 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Григорий Мосин, похоже, что да. В моём "Композите" вместо всех трёх 555ИР16 (D39,D40,D41) установлены микросхемы 155ИР1.
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 ноября 09:40:23 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Григорий Мосин
Константин Айги
Спасибо, тоже наткнулся на фото 128кая с 155ИР1

я восстанавливаю кай128 на ру7
моя тема на zx.pk
ссылка
https://zx-pk.ru/threads/35323-composit-kay-128-poloski-proshchu-soveta.html

меня отправили ир16 менять, и ещё по регенерации ру7 непонятно(но это уже после ремонта)

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 5 ноября 17:50:42 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Григорий, всё верно люди говорят. Регистр ИР16 (D39) вероятнее всего неисправен. Проверить легко. Можно кратковременно замкнуть 3-й вывод D39 на землю (желательно через низкоомный резистор - 20 Ом подойдёт). Если полоса не исчезает, значит, микросхема D39 неисправна.

А регенерацию, да, надо проверять отдельно. Например, при помощи: https://www.cxemateka.ru/ftp/IRAMTEST.ZIP

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 ноября 17:25:59 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Григорий Мосин
Константин Айги
спасибо за ответ,

а тест IRAMTEST.SCL во флешку шить? как бин?
просто нешарю...про данное расширение...

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 ноября 21:46:40 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
То был дисковый вариант (TR-DOS), а вот кассетная версия:
https://www.cxemateka.ru/ftp/iRAMtest.tap

Поскольку iRAMtest работает в ОЗУ, то при проблемах с регенерацией памяти может происходить сброс программы во время теста. Что и будет показателем соответствующих проблем.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 7 ноября 22:12:21 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Григорий Мосин
блин 1у штуку ир16 150р зарядили гады.....с ёбурга....
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 8 ноября 20:49:55 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Вместо ИР16 можно зарубежный аналог поставить (есть на aliexpress): 74LS295 (555ИР16) или 74ALS295 (1533ИР16)
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 8 ноября 21:02:25 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Анатолий E-mail: balan_58@bk.ru
Здравствуйте,Константин.У меня две одинаковых платы "Ленинград 48к.".Одна пзу m27c256 и одна рф2 с тестовой прошивкой.На обеих платах доработка для пзу(А13 на 26(D29),20(D29) на землю).При установке тестовой прошивки обе платы запускаются и идет тестирование.При установке m27c256 одна плата выводит строку:1982........,а другая:черный квадрат.Чего ей не хватает?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 ноября 13:27:11 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Анатолий, надо смотреть, что приходит на вход 27 (A14) ПЗУ. Стандартное ПЗУ Спектрума занимает 16 килобайт, а в микросхеме 27C256 - 32 килобайта. По входу 27 будут выбираться либо верхние 16 килобайт 27C256, либо нижние.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 ноября 19:01:53 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Анатолий E-mail: balan_58@bk.ru
На обеих платах 27 вывод пзу соединен с 28(+5 вольт).Одна работает,другая нет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 10 ноября 19:24:16 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Еесть смысл проверить прохождение всех адресных линий непосредственно от процессора к ПЗУ. Но проблема может быть и в другом. При проблемах с оперативной памятью, Спектрум как раз и будет виснуть на старте.
Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 11 ноября 12:52:40 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Анатолий E-mail: balan_58@bk.ru
Здравствуйте.Подскажите,можно ли тестовую прошивку(2кб),зашить в m27c128?Будет работать и какие нюансы могут быть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 21 ноября 13:04:28 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Анатолий, да можно. ПЗУ большего размера обычно заполняют тестовой прошивкой блоками по два килобайта.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 22 ноября 07:54:48 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: shurup E-mail: shurup@inbox.ru
Нахлынули воспоминания, купил себе на Авито Спектрум точно такой же как был у меня в юности, в начале 90-х.
Однако радость была не долгой:(
Суть неисправности на видео по ссылке. Подскажите в каком направлении копать?
Уж очень хочется вернуть его к жизни https://youtu.be/_fOdAXgM5xc?si=nAccnQunaZ34irm8

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 2 декабря 22:07:20 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
shurup, похоже на неисправность процессора или ПЗУ. Проверить легко. Если запустить компьютер без ПЗУ, то при исправном процессоре на экране будет такая картинка:



Желательно также замерить напряжение питания на плате. Должно быть не ниже 5-ти вольт.

Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 3 декабря 07:50:16 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
При снятом ПЗУ всё тоже самое (как на видео)
Значит процессор. Буду выпаивать. Он зараза не на панельке, как ПЗУ, а впаян :(
А потом ещё где-то найти исправный z-80...
Ну чтож, раз я подписался на это дело, надо идти до конца.
Константин, спасибо Вам за помощь!

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 3 декабря 23:14:42 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Александр
//shurup-это я)

Вот внутренности больного -

https://disk.yandex.ru/i/quZPcwK5C6C4Fg

https://disk.yandex.ru/i/xoEei3yX1bjGpQ

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 3 декабря 23:58:59 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Александр
Питание на плате 4,6 вольт.
процессор тёплый, но не горячий

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 4 декабря 00:05:54 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Александр
Спасибо, Константин!
Дело было в питании.
Подсоединил другой блок и всё заработало. Только изображение черно-белое и негативное.
Скорее всего у скарта на телеке не задействованы входы RGB.
https://disk.yandex.ru/i/A7FC8PQJIaDEHA

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 4 декабря 00:49:18 2023 | ссылка на пост    
Александр
Сообщений: 4
Автор: Анатолий
Здравствуйте.Кто-то может объяснить,как зашить тестовую прошивку(2kb) в микросхему w27c512.Не знаю,как блоками зашивать.Программатор Т-48.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 13:29:47 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Александр, чтобы цвет был, нужно +5В подать на вход 16 SCART:




Анатолий, надо файл прошивки для ПЗУ 27512 подготовить заранее. Например, в командной строке Windows можно сделать так:
for /l %x in (1, 1, 32) do type test48k.bin >> 27512.bin

На выходе получим файл 27512.bin размером 64 килобайта, при условии однократного запуска этой команды.

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 15:41:16 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Murat
Здравствуйте. Я вот на ebay вижу детали:
Z80-CPU/Z80A-CPU/Z80B-CPU DIP40 X 1PC
TL712 DIP X 1PC
32K x 8 SRAM DIP32 X 2PCS
64K x 8 EPROM/EEPROM DIP X 1PC
AD724JR PAL/NTSC Encoder SOP X 1PC
AY-3-8912 Sound Generator DIP X 1PC
74HC138 / 74LS138 DIP X 3PCS
74HC32 / 74LS32 DIP X 6PCS
74HC541 / 74LS541 DIP X 1PC
74HC174 / 74LS174 DIP X 2PCS
74HC74 / 74LS74 DIP X 4PCS
74HC08 / 74LS08 DIP X 5PCS
74HC04 / 74LS04 DIP X 1PC
74HC161 / 74LS161 DIP X 3PCS
74HC00 / 74LS00 DIP X 4PCS
74HC4040 / 74LS4040 DIP X 3PCS
74HC86 / 74LS86 DIP X 1PC
74HC253 / 74LS253 DIP X 2PCS
74HC574 / 74LS574 DIP X 3PCS
74HC166 / 74LS166 DIP X 1PC
74HC245 / 74LS245 DIP X 3PCS
74HC257 / 74LS257 DIP X 2PCS

Можно ли их заменить так:

74ALS04 (1533ЛН1) - на 74LS04.
74ALS74 (1533ТМ2) - на 74LS74.
74ALS174 (1533ТМ9) - на 74LS174.
74ALS193 (1533ИЕ7) - на 74LS193.
74ALS02 (1533ЛЕ1) - на 74LS02.
74ALS86 (1533ЛП5) - на 74LS86.
74ALS00 (1533ЛА3) - на 74LS00.
74ALS08 (1533ЛИ1) - на 74LS08.
74ALS32 (1533ЛЛ1) - на 74LS32.
74ALS257 (1533КП11) - на 74LS257.
74ALS298 (1533КП13) - на 74LS298.
74ALS373 (1533ИР22) - на 74LS373.
74ALS165 (1533ИР9) - на 74LS165.
74ALS295 (1533ИР16) - на 74LS295.
74ALS10 (1533ЛА4) - на 74LS10.

Это будет работать?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 7 декабря 19:18:12 2023 | ссылка на пост    
Сообщений: 1
Автор: Константин Айги (CXEMATEKA.RU)
Murat, серия К1533/74ALS предпочтительнее. У этих микросхем низкая потребляемая мощность, соотв. они создают меньше шумов по линии питания. Спектрум, собранный на серии 1533/74ALS, как правило, выдает более чистую картинку. Тем не менее, серию К555/74LS тоже можно использовать. Микросхемы серии 74HC - не годятся, т.к. это КМОП, а не ТТЛ (уровни сигналов разные). При выборе процессора надо смотреть на маркировку. Если в маркировке процессора Z80 есть буква "C" (например, Z84C00), то такой процессор не подойдёт, т.к. это КМОП(CMOS) версия процессора.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 декабря 11:08:02 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Анатолий
Здравствуйте.Спасибо,Константин,что научили программировать блоками.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 декабря 12:24:08 2023 | ссылка на пост    
Автор: Анатолий
Подскажите,где почитать о программировании пзу с определенного адреса.Программирую микросхему,устанавливаю с 0004000 до 0007FFF,потом считываю-пусто.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 декабря 12:39:35 2023 | ссылка на пост    
Автор: colpamax E-mail: colpamax@mail.ru
Здравствуйте, Константин. Мне очень нравится серия игр Dizzy. Начал проходить все версии подряд, начиная с первой. Дошёл до Dizzy 5 и решил поиграть в вашу версию, которая выложена здесь на сайте. Однако заметил, что в игре есть проблема. Когда доходишь до лепрекона, то с ним не получается поговорить. После разговора ему нужно отдать отдать трилистник. Соответственно я не могу получить от него талисман для дальнейшего прохождения. Можете что-то посоветовать. Ещё один момент - в переводе есть грамматические ошибки. Если Вам интересно их исправить, то я могу написать в каких словах.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 декабря 17:40:25 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
colpamax, есть такое дело, в 5-й версии Dizzy для Спектрума 48К диалоги с Лепреконом были удалены (видимо из-за нехватки памяти). Тем не менее, вручить ему предмет можно. Делается это так:
1. кладём трилистник как можно ближе к персонажу
2. помещаем Dizzy поверх Лепрекона, так чтобы он краешком руки доставал трилистник
3. далее заходим в меню выбора предмета и, не выходя из него, бросаем только что подобранный трилистник.

А ошибки да, там могут быть. Часть диалогов я перевёл/переписал самостоятельно, а часть взял из русскоязычной версии Dizzy 5 128К. Штука в том, что не так просто исправить что-то в диалогах именно в этой версии Dizzy. Диалоги там сжаты особым алгоритмом, и чтобы исправить хотя бы одну букву, нужно пересобрать весь массив диалогов. Впрочем, исходники у меня где-то есть на ГМД ;)

Анатолий, если нужно прошить что-то в определенную область ПЗУ, проще, опять же, заранее подготовить полный файл прошивки. Сначала надо считать микросхему ПЗУ (если она чистая, то файл заполнится байтом #FF). Далее просто помещаем нужные данные в требуемое адресное пространство #4000-#7FFF считанного файла прошивки.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 13 декабря 18:52:26 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: colpamax
Большое спасибо!!! Попробую.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 12:07:28 2023 | ссылка на пост    
Автор: Анатолий
Здравствуйте.Зарегистрировался,что бы прикрепить фотографии.Но не вижу здесь ни сообщения,ни фотографий.Или они попадают на другую страницу?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 13:36:39 2023 | ссылка на пост    
Автор: balan_58
Спасибо,Константин.Во всём разобрался,в одном окне программы не правильно указывал адреса.Теперь прошиваю куда хочу.Где то на форуме читал,что после "RESET" появляется чёрный квадрат и через секунду-сиклеровская строка.А что делает компьютер в эту секунду?Хочу понять,какой сигнал куда должен поступить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 14:12:16 2023 | ссылка на пост    
Сообщений: 3
Автор: balan_58
Спасибо,Константин.Во всём разобрался,в одном окне программы не правильно указывал адреса.Теперь прошиваю куда хочу.Где то на форуме читал,что после "RESET" появляется чёрный квадрат и через секунду-сиклеровская строка.А что делает компьютер в эту секунду?Хочу понять,какой сигнал куда должен поступить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 14 декабря 14:13:06 2023 | ссылка на пост    
Сообщений: 3
Автор: Зодчий Алекс
Константин, здравствуйте. Хотелось бы вернуться к вопросу использования Z80C00 (КМОП). Вы уже неоднократно писали, что такой не подойдёт, но мой опыт использования Z80C00 говорит об обратном. В схеме моих "Ленинград 48K" (один на 555 серии, второй на 1533) одинаково хорошо работают как 2764 (ТТЛ) так и 27C64 (КМОП) с процессорами Z0840004PSC (ТТЛ) и Z84C0004PSC (КМОП) в любом сочетании. Об этом можно прочитать в моём комментарии на стр.22 от 25.01.22. Позже заменил 565РУ5Г на импортные TMS4164-15NL (Texas Instruments), так при питании +5В потребляемый ток уменьшился ещё на 40 мА. Cами же мои Спектрумы начинают работать от +4,6В. И это не шутка. О их доработках можно прочитать на стр.21 от 03.10.21.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 22 декабря 21:28:07 2023 | ссылка на пост    
Автор: dzgo
По поводу КМОП процессоров Z80. Видимо влияет еще частота самого процессора. По незнанию купил КМОП Z80 Z84C0008PEC. Из трех таких процессоров на Ленинграде запустился только один. Заказал в Китае Z0840006PSC. Они работают нормально.
И еще по этой теме. В свое время кишиневское НПО Сигнал выпускало клоны Синтез-М. Пишут, что шёл просто огромный процент брака.
Я собираю фотки плат Ленинградов и Композитов. В моей коллекции есть фотки двух плат Синтез-М. На обеих платах впаяны процессоры КМОП CPU Z84C0006PEC. Также на платах присутствуют КМОП микросхемы 561ИЕ10 2 шт.,561ЛА7,561ЛЕ5, 561ЛН1 по 1 шт. Следующая разработка НПО был клон Синтез-2. Он оказался удачным, процент брака был минимальный. У меня в коллекции также есть несколько фоток плат Синтез-2. На всех платах впаяны TTL CPU Z0840004PSC, КР1858ВМ1 и т.п. Из КМОП микросхем в схеме осталось всего одна К561ИЕ10.
Наверное, в Лениградах могут запуститься примерно 30 или даже 50 процентов КМОП CPU Z80, но это уж как повезёт.

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 декабря 05:49:40 2023 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
balan_58, при старте Спектрум очищает память с простой проверкой на ошибки, затем заполняет область системных переменных. Более детально это процесс описан в дисассемблированном коде ПЗУ Спектрума: The Complete SPECTRUM ROM DISASSEMBLY

Зодчий Алекс, могу подтвердить слова dzgo. В некоторых клонах, КМОП процессоры запускаются, а в некоторых без дополнительных доработок нет. Т.е. лучше выбирать обычный процессор там, где использование КМОП версии не предусмотрено. Я успешно использовал КМОП версию Z80 в Profi 5 и ATM 6.40. Если хочется использовать именно КМОП Z80, то для стабильной работы системы стоит позаботиться о доработке тактового генератора: https://zx-pk.ru/threads/34087-khoroshij-clock-dlya-zilog-z80.html

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 декабря 07:05:00 2023 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Зодчий Алекс
dzgo, при сборке своего Ленинграда от напряжения питания +5V уже тогда запускались далеко не все процессоры Z80 даже ТТЛ (Z0840004PSC)! Но при повышении напряжения питания до 5,3–5,5V они запускались уже все! А после установки доп. резистора 1кΩ c выв.6 Z80 на +5V, повысившего уровень сигнала /RAS стали запускаться уже все без исключения Z80 (независимо ТТЛ они или КМОП) от +5V и даже от меньшего напряжения.
Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 24 декабря 15:24:35 2023 | ссылка на пост    
Автор: Ranger
А я себе такой спектрум скастрюлил ))))
http://atpilot.ru/Speccy.mov

Полезный комментарий + 2 | Бесполезный комментарий - 0
четверг, 28 декабря 02:11:45 2023 | ссылка на пост    
Автор: Владимир Журавлёв
Здравствуйте Константин, подскажите пожалуйста, куда клавиатуру паять? Точнее какими контактами к каким контактам на плате, если что интересует подключение именно современной (ну, относительно 1998-2005-ого года) клавиатуры. Заранее спасибо за ответ
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 17 января 08:28:56 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, чтобы современную клавиатуру к Спектруму подключить (с разъёмом PS/2, т.е. AT-клавиатуру), нужен дополнительный контроллер, что-нибудь вроде этого:
https://zx-pk.ru/threads/33211-universalnyj-kontroller-ps-2-klaviatury-dlya-kompyuterov-s-matrichnymi-klaviaturami.html

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 18 января 08:50:13 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Владимир Журавлёв
Константин, спасибо, хотелось бы ещё узнать куда подпаивать видеовыход, вход и выход на магнитофон и подойдут ли КР555РУ2 ДЛЯ ПАМЯТИ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 18 января 10:46:48 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Константин Айги (CXEMATEKA.RU)
Если речь именно о "Ленинграде", то все входы-выходы легко найти на монтажной схеме:
https://www.cxemateka.ru/v1/LeningradMONTGray.png

Слева на монтажке:
1. контакт конденсатора C7, обозначенный RD - магнитофонный вход.
2. контакт конденсатора C6, обозначенный WR - магнитофонный выход.
3. коллектор транзистора T1, обозначенный SND - выход на бипер (звук).

Справа внизу:
1. R13, V - видеовыход.
2. R10, B - канал цветности BLUE.
3. R12, G - канал цветности GREEN.
4. R11, R - канал цветности RED.

КР565РУ2 для «Ленинграда» не подойдут, ёмкость не та.

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 18 января 13:47:57 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Владимир Журавлёв
ХОРОШО, СПАСИБО Я ТАК ПОНИМАЮ 8 ШТУК КР565РУ5 ЭТО ДЛЯ 48KB, А ДЛЯ 128KB НУЖНО БОЛЬШЕ МИКРОСХЕМ. ВОПРОС В ТОМ,ЛУЧШЕ ДЛЯ РАСШИРЕНИЯ БРАТЬ КР565РУ5 ИЛИ ЖЕ КР565РУ7?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 января 07:33:48 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Константин Айги (CXEMATEKA.RU)
Да, 8 микросхем 565РУ5 устанавливаются в версию Спектрума 48К. Для версии 128К будет достаточно 8-ми микросхем 41256 DRAM или 565РУ7. Если планируется апгрейд памяти, то лучше сразу установить импортные 41256 DRAM (временно заземлив вывод 1 этих микросхем). Можно аналогичным образом использовать и 565РУ7, но схема доработки будет чуть сложнее.

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода для импортной памяти 41256 DRAM (регенерация в 256 циклов):
https://www.cxemateka.ru/v1/128k.png

Схема увеличения памяти и коррекции дешифрации портов ввода-вывода для отечественной памяти 565РУ7 (регенерация в 512 циклов):
https://www.cxemateka.ru/v1/128k_ru7.png

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 19 января 08:42:54 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Владимир Журавлёв
СПАСИБО, ХОТЕЛОСЬ БЫ ЕЩЁ УЗНАТЬ ШТО ЗА ПОРТ РАСШИРЕНИЯ ИСПОЛЬЗУЕТСЯ ЗДЕСЬ https://speccy.info/w/images/6/63/Magic_06_Board.jpg .ИМЕЕТСЯ ТАКОЙ КЛОН, НО ИЗ-ЗА ПРОБЛЕМ С ПОРТОМ РАСШИРЕНИЯ РЕШИЛ СПАЯТЬ ЛЕНИНГРАД, ВЕДЬ ПОД НЕГО ОЧЕНЬ МНОГО СХЕМ ДОРАБОТОК В ИНТЕРНЕТЕ. КСТАТИ БУКВАЛЬНО ВЧЕРА ПОД НЕГО НАШЁЛ БЛОК ПИТАНИЯ, РОДНОЙ КОТОРЫЙ Я СЧИТАЛ УТЕРЯННЫМ. КЛОН КРУТОЙ, ПОДСКАЖИТЕ ПОЖАЛУЙСТА ЕСЛИ ЗНАЕТЕ РАСШИРЕНИЕ ((ИНТЕРЕСУЕТ ФЛОПИК)ЕСЛИ ЧТО НАЗВАНИЕ КЛОНА MAGIC 06)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 19 января 09:00:01 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Андрей E-mail: kraninandrej@yandex.ru
Смотрел ваш ролик. Есть энтузиасты БК0010 там не только сделали конструктор но разрабатываю новую версию БК0012. https://forum.pk-fpga.ru/viewtopic.php?f=15&t=5378
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 19 января 17:34:35 2024 | ссылка на пост    
Автор: Анатолий
Здравствуйте.Скажите,почему на 20 выводе Z80 нет импульсов?От чего зависит их появление?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 января 15:37:32 2024 | ссылка на пост    
Автор: Анатолий
Здравствуйте.Подскажите,от чего зависит появление импульсов на 20 ноге Z80?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 21 января 15:42:11 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, вот схема клона Magic-06 (там есть распиновка порта расширения):
https://www.cxemateka.ru/ftp/magic6.zip

Андрей, эти разработки я видел. Моя идея несколько другая. Я планирую не повторять оригинальные схемы ретрокомпьютеров, а разрабатывать свой вариант схем на современных компонентах. Задача непростая, но очень интересная.

Анатолий, низкий уровень на выходе IORQ (Z80-20) формируется при запросе портов ввода-вывода, т.е. когда процессор выполняет команды IN/OUT. При этом также формируются сигналы RD/WR (чтение/запись). Кроме того, IORQ уходит в ноль в цикле подтверждения прерывания, т.е. уходит в ноль с некоторым отставанием от импульса на входе INT (Z80-16):


Полезный комментарий + 1 | Бесполезный комментарий - 0
воскресенье, 21 января 18:16:51 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Владимир Журавлёв
Ещё есть вопрос, точнее два. Сразу извиняюсь, ибо мог уже достать своими вопросами, но чем отличается КР565РУ7 от РУ 7Г(Д), А ТАКЖЕ ЧТО ЕСЛИ Я ВОЗЬМУ память такую

и советскую по типу KС573РФ8, КС573PФ4, KC573pф2 u T.Д., И КАКАЯ МЕЖДУ ЭТИМИ ТРЕМЯ РАЗНИЦА? Заранее спасибо за ответ!


Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 января 17:06:49 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Андрей E-mail: kraninandrej@yandex.ru
Так БК0012 и планируется на плисе.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 22 января 23:22:24 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Владимир, КР565РУ7 под разными буквами отличаются временными показателями:
https://www.cxemateka.ru/ftp/k565ru7.pdf
https://www.cxemateka.ru/ftp/kr565ru7_pasp.pdf

При этом КР565РУ7Д1, КР565РУ7Д2 это половинки КР565РУ7, т.е. микросхемы, обладающие вдвое меньшей ёмкостью (131072 бит вместо 262144 бит).

Если есть под рукой программатор, который поддерживает отечественные ПЗУ, тогда есть смысл их использовать. Т.е. тут принципиальной разницы нет.

Андрей, я бы как раз избегал использовать ПЛИС, но в некоторых случаях это оправдано. В частности, для эмуляции функционала некоторых отечественных БМК.

Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 23 января 13:42:16 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Здравствуйте друзья! Прошу помощи для увеличения памяти платы zx spectrum Ленинград 2012. Какие точки для сигналов на этой версии платы соответствуют Ленинград 1 ? Буду использовать плату BC-1 для компьютера Байт.
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 26 января 16:01:12 2024 | ссылка на пост    
Сообщений: 5
Автор: Владимир Журавлёв
Здравствуйте хочу поделиться своей платой (попыткой её создания) читалки для магнитофона. Прошу прощения за грязную схему разводки платы (карандаш нижний слой), недопаянные детали, но всё равно прошу оценить


Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 26 января 19:30:23 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, по доработке "Ленинграда" 2012 до 128К, расклад тут:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p401

Владимир, для первой попытки нормально ) Замечено, что чем тщательней выполняются все этапы от подготовки до травления платы - тем лучше результат. Важно перед включением прозвонить мультиметром все контакты, проверить, нет ли где замыканий и ошибок.

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 27 января 11:00:43 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Спасибо большое Константин, меню 128к появилось, но при запуске теста128к пишет ошибка банк-0 сектор-3
записано-00000000
считано-00000100
Что это значит, где искать ошибку?

Полезный комментарий + 1 | Бесполезный комментарий - 0
суббота, 27 января 22:45:21 2024 | ссылка на пост    
Сообщений: 5
Автор: Владимир Журавлёв
Ещё раз здравствуйте, прошу подсказать наименование кварца на схеме СРОЧНО! Заранее Спасибо за ответ
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 28 января 11:44:31 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, тест показывает сбой микросхемы памяти на шине Z80 D2. Если используются 565РУ7, то нужна ещё доработка для регенерации ОЗУ в 512 циклов:
https://www.cxemateka.ru/v1/128k_ru7.png (подмешивается сигнал MA0 к входу A8 РУ7)

Владимир,
Кварц (элемент Q1 по схеме) обычно ставят номиналом 14 МГц, но возможны и другие варианты:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 29 января 08:31:57 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Спасибо Константин, но я использую память 41256, значит неисправна одна из микросхем?
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 29 января 11:14:12 2024 | ссылка на пост    
Сообщений: 5
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, вполне вероятно. Если нет микросхемы на замену, можно поменять местами предположительно сбойную микросхему с любой другой из линейки памяти. Если сбойный бит данных сместится в отчете теста, то микруха точно под замену.

Стоит ещё питание на плате проверить. Если ниже 5 вольт, то это тоже может быть проблемой.

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 29 января 12:23:23 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Спасибо, большое Константин, микрух таких полно,буду менять и смотреть напряжение.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 29 января 14:44:30 2024 | ссылка на пост    
Сообщений: 5
Автор: Александр
Приветствую всех пользователей данного форума! Решил и я собрать с нуля свой ZX Spectrum...Для этого была приобретена новодельная плата Ленинград 1. Так же по схемам из интернета были собраны "тестер мелкой логики и программатор ПЗУ 27 серии. По ходу сборки платы компьютера у меня возник вопрос...У продавца указано, что плата доработана для установки одной микросхемы ПЗУ, например 27с512 Какой файл заливать в данную ПЗУ?В качестве стандартной прошивки ZX Sectrum в интернете выкладывают обычно 2 файла ROM 0 и ROM 1 lдля ПЗУ2764 или РФ;...Где взять файл для 27С512 ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 31 января 02:06:19 2024 | ссылка на пост    
Сообщений: 5
Автор: Константин Айги (CXEMATEKA.RU)
Александр, для "Ленинграда" 48К достаточно будет микросхемы ПЗУ объёмом 16К - 27C128.

Стандартная операционная система для «ZX Spectrum» 48k (1982 Sinclair Research Ltd):
http://www.cxemateka.ru/v1/sos48k_only.bin (прошивка 16К)

Микросхему ПЗУ большего объёма можно заполнить прошивкой sos48k_only.bin повторяющимися блоками по 16К.

Полезный комментарий + 1 | Бесполезный комментарий - 0
среда, 31 января 18:25:16 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Здравствуйте Константин! Могли бы Вы подсказать мне, собрал схему расширения в 256циклов,но почему то нет переключения банков памяти, проверяю программой Ram tester, показывает рабочим только 5-й банк.Делаю на версии Ленинград 2012, нужно ли использовать перемычку J9? Что можно ещё посмотреть?
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 февраля 00:01:39 2024 | ссылка на пост    
Автор: Александр
Здравствуйте, Константин! Спасибо, что откликнулись. Я тоже догадывался, что вместо двух микросхем 27с64 вполне достаточно одной 27с128. Вопрос по поводу 27с512 возник по двум причинам. 1.На печатной плате компьютера указана 27С512 (а точнее,ее вариант с электрическим стиранием т е W27C512) 2. У меня в наличии есть некоторое количество именно 27с512 извлеченных с разных плат АОНов....Теперь вопрос по существу.
1. Микросхема 27С128 с указанной Вами прошивкой будет ли корректно работать на плате компьютера доработанной под установку 27С512?
2.Если микросхему ПЗУ 27С512 прошить всего одним файлом sos48k_only (который займет всего лишь четвертую часть памяти) а остальную часть оставить пустой (ничего туда не записывать) Как это скажется на работоспособности компьютера.
3. Каким образом можно микросхему 27С512 записать повторяющимися блоками по 16кБ? Я понимаю, что для этого надо исходную прошивку sos48k_only открыть в каком то редакторе, скопировать ее в буфер обмена и снова вставить в конце программы еще три раза. Но какую именно для этого использовать программу?
С уважением, Александр

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 1 февраля 09:52:41 2024 | ссылка на пост    
Сообщений: 5
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, для начала стоит проверить, соединены ли входы A8 (вывод 1) микросхем 41256 между собой и не закорочены ли они на землю. Далее надо проверить работоспособность порта #7FFD, так:
1. заходим в BASIC 128К, даем команду USR 0
2. попадаем в BASIC 48K с поддержкой порта #7FFD
3. даем команду: OUT 32765,24 (включение второго экрана)

Если все правильно, основное поле экрана станет чёрным, но при этом компьютер будет реагировать на команды (выход по ресету).

Перемычка J9 при использовании памяти 41256 должна быть на месте.

Александр, если эта плата "Ленинграда" версии 48К без контроллера дисковода, то можно ставить 27C128 вместо 27C512. А вот в какую часть 27С512 прошивать sos48k_only.bin, нужно вычислять исходя из состояния выводов 1 (A15) и 27 (A14) ПЗУ:
1. A15=0, A14=0 - прошиваем с адреса #0000
2. A15=0, A14=1 - прошиваем с адреса #4000
3. A15=1, A14=0 - прошиваем с адреса #8000
4. A15=1, A14=1 - прошиваем с адреса #C000

Входы A14 и A15 ПЗУ 27С512 не должны висеть в "воздухе".

Ну, а получить прошивку с четырьмя повторяющимися блоками sos48k_only.bin, можно из командной строки Windows так:
cd C:\путь_к_папке_с_файлом_прошивки
for /l %x in (1, 1, 4) do type sos48k_only.bin >> 27512.bin

На выходе получим файл 27512.bin размером 64 килобайта, при условии однократного запуска этой команды.

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 2 февраля 05:07:44 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Александр
Константин, добрый день! Благодарю Вас за исчерпывающий ответ! По поводу прошивки ПЗУ четырьмя повторяющимися блоками я тоже нашел в интернете интересную информацию...Там сказано, что подобную прошивку можно изготовить с помощью программы Total Comander, набрав в командной строке
copy /b 128.bin + 128.bin 256.bin
а затем еще раз
сopy /b 256.bin + 256.bin 512.bin
В итоге у меня получился файл размером 64,0 КБ (65 536 байт)
Теперь попробую сделать аналогичную процедуру указанным Вами способом, и затем, сравню получившиеся файлы.

Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 2 февраля 10:33:40 2024 | ссылка на пост    
Сообщений: 5
Автор: Александр
Попробовал сделать файл указанным Вами способом и сравнил полученный файл со своим. Файлы получились идентичными!Значит оба способа работают!
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 2 февраля 10:55:38 2024 | ссылка на пост    
Сообщений: 5
Автор: Дмитрий
Спасибо Константин за ответ! Но у меня ситуация такая: выводы 1 микросхем памяти изначально все соединены в этой версии Ленинград. Почему то как только я отсоединяю их от земли,то прошивка не загружается,а при соединении выводов 1 с землёй,меню нормально работает, хотя при тестировании горят все банки красным,кроме 5го,он зелёный и пишет Ок.Все порты тоже определяются как рабочие.этр я проверил программой Ram tester.Платка расширения памяти собрана без ошибок. В этой версии Ленинград стоит 1 флеш ПЗУ 128к, может быть к ней что-то нужно добавить?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 февраля 14:32:46 2024 | ссылка на пост    
Автор: scp_cpm
Здравствуйте! Включил свой 48 ленин ,и с ним всякая фигня творится. Ссылка на фото: https://disk.yandex.ru/d/y-ow0R7DUoxbEQ
На фото 1 и 2 и 3 дороботки ,сделанные не мной,на фото 1 проводок я отпоял и цвета стали идти в феолетовый
а красным я покозал куда он припаявался .На фото 2 неясная мне дороботка ,на 3м припаян конденцатор (наверно для устронения помех).Если просто подать питание , то будет как на фото 6 чёрный бордюр и красная полоса , если что то ввисти на клавиатуре то 9 й символ будет на фоне красной полосы(на фото 4),если спек перезагрузить сигналом на процессоре,то будет обычный загрузочный экран , а при нажатии любой кнопки опять будет как на фото 4. Без ПЗУ будет матрас как на фото 8, без озу и пзу будет белый экран как на фото 9. Помогите пожалуйста!

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 февраля 17:40:22 2024 | ссылка на пост    
Сообщений: 3
Автор: scp_cpm
А и да это точно не беда с пзу , ставил didaktik skalica то же полоска сбоку.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 февраля 18:16:28 2024 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, а, ну вот и ошибка. Линия A8 линейки ОЗУ должна быть отсоединена от земли и подсоединена к выводу 11 DD4.4 платы расширения BC-1.

scp_cpm, на фото 1 провод дублировал существующую дорожку. Надо убедиться, что сигнал от вывода D30-12 доходит до вывода D36-2. На втором фото также дублируется существующая дорожка проводком. На третьем фото из того, что я вижу - не очень аккуратно подпаян видеовыход. Для начала, надо восстановить все обрывы согласно схеме. Проблема тут очевидно в области атрибутов - D30, D31, D36.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 февраля 18:28:05 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин спасибо за быстрый ответ.Но ошибки у меня вроде нет, когда я подключаю 1 вывод 41256 к выводу 11 DD4 компьютер не стартует(синий экран с двумя полосами), но как только кидаю 1 вывод 41256 на землю все работает, но похоже в режиме 48кб.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 2 февраля 20:03:40 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, так не должно быть. Есть предположение, что на плате линия A8 ОЗУ закорочена с чем-то ещё. Это надо проверить.
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 февраля 00:41:00 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин,еще раз спасибо за ответ.Все перепроверил, выводы 1 (A8) больше ни с чем не соединяются, интересует вывод 3(WE) должен быть в разрыве с DD9-8 ?
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 февраля 15:37:55 2024 | ссылка на пост    
Автор: Дмитрий
Константин!
1. заходим в BASIC 128К, даем команду USR 0
2. попадаем в BASIC 48K с поддержкой порта #7FFD
3. даем команду: OUT 32765,24 (включение второго экрана)
основное поле экрана станет чёрным, но при этом компьютер будет реагировать на команды (выход по ресету).
Так все и есть!То есть выход из экрана только по нажатию кнопки сброса?
Все получилось, но тогда почему у меня при этом все ножки 41256-1 все закорочены на землю?
Никак не могу понять, только так работает все кроме прохождения теста!

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 февраля 17:22:13 2024 | ссылка на пост    
Автор: Александр
Цитата "Александр, если эта плата "Ленинграда" версии 48К без контроллера дисковода, то можно ставить 27C128 вместо 27C512. А вот в какую часть 27С512 прошивать sos48k_only.bin, нужно вычислять исходя из состояния выводов 1 (A15) и 27 (A14) ПЗУ:
1. A15=0, A14=0 - прошиваем с адреса #0000
2. A15=0, A14=1 - прошиваем с адреса #4000
3. A15=1, A14=0 - прошиваем с адреса #8000
4. A15=1, A14=1 - прошиваем с адреса #C000"
Константин, здравствуйте! Вот фото моей новодельной платы Ленинград 48 https://disk.yandex.ru/i/ERAUOmIcYJ3eYA
На данной плате выводы А15=1 и А14=1 т.е они подключены к +5в Исходя из этого следует, что в моем случае прошивка должна читаться с адреса #С000. Но ведь в ПЗУ 27128 и 27256 таких адресов не будет...Получается что на данной плате без доработки будет работать только 27512. Причем Бейсик48к должен быть зашит либо во всю ПЗУ четырьмя повторяющимися блоками, либо в ее четвертую часть с адреса С000. Так же, где то находил информацию, что для 128 кБ машин с ПЗУ27512 Бейсик128 должен быть записан в третью часть с адреса 8000, а Бейсик48 в четвертую с адреса С000

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 3 февраля 21:27:20 2024 | ссылка на пост    
Сообщений: 5
Автор: Владимир Журавлёв
И ещё раз здравствуйте! Подскажите кто-нибудь схему клавиатуры ( лучше с калькуляторным блоком, но можно и без ), предпочтительнее с микро-кнопками, к каким контактам на Ленинграде (СПЕКТРУМЕ) её подпаивать, конечно я понимаю что на SV1, но какими контактами и (, а также) куда именно? Константин, в тот раз я интересовался именно мембраной и её контактами, а не контроллером, но за это тоже спасибо, ибо всё-равно понадобилось Заранее спасибо за ответ!
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 5 февраля 14:22:53 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Владимир Журавлёв
КСТАТИ, Константин, ПОЗДРАВЛЯЮ С 8-Ю ГОДАМИ НА САЙТЕ!ПРАВДА С 0ПОЗДАНИЕМ
,,,...


Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 7 февраля 07:39:18 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, когда линия A8 ОЗУ 41256 подсоединена к земле, Спектрум будет работать в режиме 48К, т.е. дополнительная память оказывается незадействованной. При этом порт #7FFD очевидно работает, но с ограничениями.

Есть такое предположение. В версии 2012 могут использоваться мультиплексоры памяти с инверсией - КП14 (D15-D18). Поэтому рекомендую попробовать подать на линию A8 ОЗУ инвертированный сигнал с платы ВС-1, т.е. соединить A8 с выводом 13 DD3.4.

Линию WE памяти пока трогать не стоит. А вот после того, как тест пройдёт, можно и доработать. В схеме «Ленинграда» разрешена запись в область ПЗУ. В режиме 48к это никак не влияет на работу системы, а вот в режиме 128к при записи в область ПЗУ портится содержимое страниц дополнительной памяти. Это негативно влияет на работу некоторых программ. Доработка показана тут (элемент eD5.3):
https://www.cxemateka.ru/v1/128k.png

Александр, ПЗУ 27128 будет работать нормально при +5В на выводах 1 и 27. Прошивку в ПЗУ 27256 надо шить с адреса #4000 (или в обе части). В ПЗУ 27512 соотв. с адреса #C000 (или во все четыре банка). Для версии 128К, да, так и шьют, тут подробнее:
https://www.cxemateka.ru/v1/Other.png

Владимир, спасибо за поздравление. Вообще, этот сайт изначально задумывался, как собрание различной документации к ретротехнике, которой у меня много. Как будет время, обязательно займусь сканированием и публикацией схем и прочей документации.

Схема ZX клавиатуры:
https://www.cxemateka.ru/v1/zx_keyboard.gif

KB0-KB4 (ZX Spectrum) = KL0-KL4 (по схеме «Ленинграда»)
A8-A15 (ZX Spectrum) = D(A8)-D(A15) (по схеме «Ленинграда»)

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 8 февраля 03:19:34 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Здравствуйте Константин! Спасибо за подробный ответ. Дело в том, что я уже подключаю плату расширения памяти по Вашей схеме для импортной памяти 41256 DRAM, регенерация в 256 циклов.
С платой ВС-1 что-то ничего не вышло.
Подскажите пожалуйста, куда лучше подключить линию A8 в Вашей схеме?
Может есть смысл поменять к1533КП14 на КП11 ?

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 8 февраля 18:39:16 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Менять КП14 на КП11 не нужно, нужно логику схемы доработки соотв. образом подправить. КП14 дают инвертированную адресацию ОЗУ A0-A7, соотв. есть смысл инвертировать и линию A8, если стандартная логика не работает. Для этого достаточно просто заменить элемент eD5.4 (ЛЛ1) на eD3.4 (ЛЕ1):


Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 8 февраля 21:00:50 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Спасибо большое Константин! Сегодня обязательно попробую так сделать, надеюсь всё получится.
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 9 февраля 12:51:34 2024 | ссылка на пост    
Автор: Дмитрий E-mail: astaro@yandex.ru
Друзья, всем привет!

Собрал Ленин-2. Вроде все отлично, запустился сразу: растр ровный, матрас с процессором и ОЗУ есть какой надо, с установленной ПЗУ показывает на экране соответствующую надпись... Тестовая ПЗУ по рецепту Константина показывает все ОК. НО!, есть ложка дегтя - при нажатии на некоторые клавиши на клаве ресетится. Куда копать?

Из доработок - коррекция ССИ и привязка к уровню черного.

Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 9 февраля 18:46:40 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
Если происходит сбой при нажатии на некоторые клавиши, надо определить ряд, в котором возникла проблема, по этой схеме:
https://www.cxemateka.ru/v1/zx_keyboard.gif

Проблема может быть с адресным диодом клавиатуры (пробит или не той полярностью впаян). Проблема также может быть с одним из мультиплексоров, которые управляют сигналами KL0-KL4.

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 10 февраля 22:30:46 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Дмитрий
Константин,
Если проблема в мультиплексоре, то не работали бы пара столбцов, а если в диоде, то половина ряда. Здесь же одна клавиша и это странно.
Кстати, мультиплексоры на клавиатуру я поменял с 1531 на 555 серию - они не требуют подтяжки на +5В, которой у Ленина-2 конструктивно нет, в отличие от остальных схем. Без изменений....

Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 февраля 14:09:44 2024 | ссылка на пост    
Сообщений: 3
Автор: имдра ымдр
Дмитрий, была такая проблема на доработанном Ленинграде 1, решилась буфером на адресные линии.
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 февраля 16:56:53 2024 | ссылка на пост    
Сообщений: 7
Автор: имдра ымдр
Сообщений: 7
Автор: Дмитрий
Спасибо, подумаю как этот буфер приколхозить. И с типом диодов поиграюсь...
Полезный комментарий + 0 | Бесполезный комментарий - 0
понедельник, 12 февраля 17:39:26 2024 | ссылка на пост    
Сообщений: 3
Автор: Константин Айги (CXEMATEKA.RU)
Дмитрий, если сбой по одной клавише, есть смысл ПЗУ перепрошить. Ошибка в прошивке может привести к самым непредсказуемым последствиям.
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 13 февраля 01:47:28 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: scp_cpm
Здравствуйте ! Я ранее писал с проблемами в моём ленине . Посмотрел я плату и ужаснулся ,везде обрывы , задолбался я с ней . Заказал набор для сборки спаял ,всё работает но,вместо синкклер ресёч просто чёрная полоса . Думаю бумага принимает цвет чернил.Помогите пожалуйста .
Полезный комментарий + 1 | Бесполезный комментарий - 0
вторник, 13 февраля 06:35:11 2024 | ссылка на пост    
Сообщений: 3
Автор: Дмитрий
Константин, ПЗУ, по вашей наводке заменил - вместо 2х8, прошитых еще до исторического материализма, поставил свежепрошитое 1х16. Проблема магическим образом решилась :) Огромное спасибо за помощь!

Будем теперь бетадиск цеплять и AY.
Имеет ли смысл ставить AY без расширения памяти до 128/256?

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 15 февраля 23:13:07 2024 | ссылка на пост    
Сообщений: 3
Автор: Владимир Журавлёв
И ещё раз Здравствуйте! Да, прям с большой буквы З(ЗДРАВСТВУЙТЕ). В процессе сборки ПОЯВИЛОСЬ ЕЩЁ НЕСКОЛЬКО ВОПРОСОВ., А) НУЖНО ЛИ КАК-ЛИБО ПОДПАИВАТЬ ПРОМЕЖУТОЧНЫЕ ОТВЕРСТИЯ (МЕЖДУ СЛОЯМИ),. Б)КАК ПРАВИЛЬНО ПОДПАЯТЬ КОНТАКТ(-Ы) РАЗЪЁМА РАСШИРЕНИЯ., И НАКОНЕЦ В) ПРОШУ ОЦЕНИТЬ, И ДОРАБОТАТЬ МОЮ КЛАВИАТУРУ, ДОРАБОТАТЬ С ТОЧКИ ЗРЕНИЯ НЕДОСТАЮЩИХ КЛАВИШ ПО ТИПУ DEL, SHIFT, u (,.А ТАКЖЕ) Т.Д. НУ И КОМПОНЕНТОВ ВЫВОДА УЖЕ К ПЛАТЕ. ПЛАНИРУЮ ДЕЛАТЬ ЛУТОМ. вОТ И САМ ФАЙЛ:https://disk.yandex.ru/d/bGmOWBbO60Wy2A.. Заранее спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 16 февраля 17:18:20 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Владимир Журавлёв
И ещё раз здравствуйте, у меня возникло ещё несколько вопросов. А) как запараллелить клавиши джойстика(курсора), Б) что это за деталь

и В ) куда идут столбцы и колонки внизу и слева. Заранее спасибо за помощь (,ответ)!!!


Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 17 февраля 12:25:02 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Дмитрий
Здравствуйте Константин! Как я не бился с Вашей схемой расширения, ничего не получилось.Только когда сделал по этой схеме https://www.avray.ru/ru/zx-spectrum-256k-upgrade/ все сразу заработало! Проходит все тесты и игры. Не пойму почему, но в этой схеме сигнал A8 взят с микросхемы Кп11.Может у Вас есть идея почему так?
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 21 февраля 16:01:15 2024 | ссылка на пост    
Автор: Владимир Журавлёв
Поздравляю всех с 23 февраля!!!
Полезный комментарий + 1 | Бесполезный комментарий - 0
пятница, 23 февраля 07:10:18 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Владимир Журавлёв
Вот новый файл клавиатуры https://disk.yandex.ru/d/si9zAFbEyiux7w , исправленный:)
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 23 февраля 14:26:02 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Владимир Журавлёв
ещё раз здравствуйте, вопрос таков, можно ли миксовать микросхемы серии 555 и 1533? Или же здесь будет конфликт КМОП И ТТЛ сигнала?
Полезный комментарий + 0 | Бесполезный комментарий - 0
пятница, 8 марта 19:03:09 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Анатолий
Здравствуйте.Подскажите,правильно ли я понимаю.Измерил осциллографом строчный синхроимпульс,частота 14530 гц,период 68.8 US.Это значит,что длительность импульса 6.8 мкс?Поправьте,если это не так.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 12 марта 10:05:31 2024 | ссылка на пост    
Автор: 1N4148
Всем добра. Пожалуйста, дайте кто-нибудь совет по запуску Ленинграда-2. Собрал на плате 90-х годов эту машину, никакого старта, естественно, не получил, потому что на плате куча ошибок. Две недели ломал голову, исправил всё, что нашел, но застрял на следующем: при установке тестового ПЗУ test48k.bin видно, что процессор стартует и тест запускает, так как циклически меняется цвет бордюра, появляются черточки на бордюре, но черточки эти статические, не перемещаются. В основном поле текст не выводится, оно просто каждый раз при включении заполняется хаотическими квадратиками и полосами. На что указывает такое поведение? Куда, как говорится, копнуть? Спасибо.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 13 марта 12:44:34 2024 | ссылка на пост    
Сообщений: 1
Автор: Анатолий
Здравствуйте.Собрал схему укорачивания строчного синхроимпульса на к555аг3.По моему,ничего не изменилось.Или я не умею мерять длину сси,подскажите,как правильно.
Полезный комментарий + 0 | Бесполезный комментарий - 0
среда, 13 марта 13:19:19 2024 | ссылка на пост    
Автор: Андрей E-mail: barkovandrei@inbox.ru
Здравствуйте, Константин! Не могли бы Вы подсказать начинающему радиолюбителю? Собрал новодельный Ленинград 48к с пзу на 512, который заказывал на алиэкспрессе. В попытках запустить сломал голову. Изображение получить удалось - уже маленькая победа, а вот дальше не идет. Записал видео с тестовой прошивкой. Также прошивал её начиная с C000, по другим адресам не работает. И после этого выдает такую кашу:
https://youtu.be/wb43VLk_asc?si=FIAm4OYqRQqmY5Lq
В чем может быть проблема?

Без ПЗУ тоже ничего хорошего:
https://youtube.com/shorts/j61fyZM54HI?si=FUTvLmg88exNR3Jz

Интересно, что комплектные транзисторы на выход vrgbs не соответствуют шелкографии: коллектор и эмиттер развернуты. Хорошо, что проверил их.
Сначала включал плату без блокировочных конденсаторов, изображение постоянно уплывало куда-то. Когда их запаял- все исправилось.
Также была одна сбойная ТМ2 (хотя все чипы проверял на TL899): изображение появлялось только если нажать на неё пальцем. Заменил на 74ls74 и тоже всё исправилось. Картинку беру через GBS8200 v4.0

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 марта 04:30:23 2024 | ссылка на пост    
Автор: Андрей
Похоже вопрос отпадает: проблема в процессоре. Он оказался cmos, как и несколько других. Теперь вопрос: где найти сейчас ttl z80?
Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 17 марта 19:48:39 2024 | ссылка на пост    
Автор: Зодчий Алекс
Андрей, попробуйте установить доп. резистор 1kΩ c выв.6 Z80 на +5V. Это повысит уровень сигнала /RAS и будут запускаться все без исключения Z80 (независимо от того ttl они или cmos) от +5V и даже от меньшего напряжения. Между процессором и D14 (LL1) как раз есть подходящее для него место. Там 3 отверстия вряд в которые можно установить резистор горизонтально или вертикально. Хуже точно не будет.
Полезный комментарий + 0 | Бесполезный комментарий - 0
вторник, 19 марта 18:17:59 2024 | ссылка на пост    
Автор: Андрей
Зодчий Алекс, спасибо! Да, натыкался ранее на Ваш пост про это. Попробовал - не помогает. Похоже, что процессор и так запускается, раз выполняет программу из ПЗУ как на видео, или я ошибаюсь? Похоже, что проблема где-то в другом месте. Я грешу на микросхемы мультиплексоров. Говорят, что они часто выходят из строя. Пробую одну микросхему за другой поднимать и сажать в кроватки, - посмотрим что из этого выйдет. Какая конкретно микросхема вышла из строя надо выяснять. Из диагностики только осциллограф fnirsi одноканальный: им смог только частоты проверить. Также только что пришли микросхемы памяти для расширения до 128: что с ними, что с 4164 - одинаковое поведение.
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 марта 11:37:31 2024 | ссылка на пост    
Автор: Константин Айги (CXEMATEKA.RU)
> вместо синкклер ресёч просто чёрная полоса

scp_cpm, в этом случае рекомендуется тест с заполнением экрана прогнать, картина будет более наглядной:
http://www.cxemateka.ru/v1/test48k_org.bin

> Имеет ли смысл ставить AY без расширения памяти до 128/256?

Дмитрий, в принципе да, но программ с поддержкой AY для Спектрума 48К не очень много.

> НУЖНО ЛИ КАК-ЛИБО ПОДПАИВАТЬ ПРОМЕЖУТОЧНЫЕ ОТВЕРСТИЯ (МЕЖДУ СЛОЯМИ)

Владимир, если плата хорошего качества, то нет.

Что же касается клавиатуры, то самодельный вариант достаточно просто можно сделать (либо ЛУТом, либо соединив кнопки проводками на макетке). Но речь тут именно о 40-ка кнопочной версии клавиатуры. Расширенную клавиатуру довольно сложно сделать самостоятельно.

> Б) что это за деталь

Разъем.

> В ) куда идут столбцы и колонки внизу и слева

Там нет соединений, просто схематически обозначены ряды и колонки.

> можно ли миксовать микросхемы серии 555 и 1533?

Можно.

> Только когда сделал по этой схеме https://www.avray.ru/ru/zx-spectrum-256k-upgrade/ все сразу заработало!
> Проходит все тесты и игры. Не пойму почему, но в этой схеме сигнал A8 взят с микросхемы Кп11. Может у Вас есть идея почему так?

Дмитрий, вообще, по приведенной схеме MA8 должен браться с КП12. Ну а в целом, логика схем расширения схожая. Главное собрать правильно и из исправных деталей.

Анатолий, по поводу частоты строк:
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p95

1N4148, для начала рекомендуется добиться устойчивого "матраса" при включении без ПЗУ.

Андрей, похоже, что где-то обрыв либо замыкание в районе видеоконтроллера (D30, D31, D36, D33, D35). Если что-то где-то перемкнуло, то одна или несколько микросхем будут греться сильнее обычного. Обрыв или непропай в районе видеоконтроллера легко обнаружить, прикоснувшись пальцем к проблемной области при включенном ПК - в случае обрыва на экране появятся хорошо различимые помехи.

И лучше не использовать CMOS процессор. Заставить такой процессор нормально работать с «Ленинградом» можно, но наладку производить лучше с обычным заведомо исправным процессором. Старенький Z80 не дефицит. Достать не сложно. Есть и в некоторых онлайн-магазинах и на Авито. Правда, я как-то на Али заказал было партию обычных Z80, а прислали CMOS )

Полезный комментарий + 1 | Бесполезный комментарий - 0
четверг, 21 марта 17:26:55 2024 | ссылка на пост    
Константин Айги (CXEMATEKA.RU)
Сообщений: 305
Автор: Владимир Журавлёв
Константин, спасибо!
Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 марта 18:15:25 2024 | ссылка на пост    
Владимир Журавлёв
Сообщений: 17
Автор: Андрей E-mail: barkovandrei@inbox.ru
Константин, спасибо за ответ и огромное СПАСИБО за этот сайт! Без Вас бы ничего не получилось! Вы ранее здесь описывали процесс диагностики, где описывали, по пунктам: где и что проверять.
https://www.cxemateka.ru/ru/building_zx_spectrum_128k_clone_beta_disk_interface_ay_3_8910_ym2149f/p1086

Я воспользоваля этой инструкцией и разобрался в чем проблема!
Проблема была в самой плате: 11 нога микросхемы D32 коротила на линию D2 из-за того, что дорожка была проведена слишком близко к отверстию под это ногу! И на 12 ноге процессора постоянно было 1.5Мгц. Я убрал этот коротыш и все заработало! Причем на схеме на просвет в шапке этой страницы - это тоже есть, обратите внимание!

CMOS процессоры работают, даже 20Мгц!!! (у меня Z84C0020PEC). И другие проверил - также работают стабильно: Z84C0008PSC, TMZX84C00AP-6, LH0080A Z80A-CPU-D. Также спасибо за мод Зодчиму Алексу.

Прикладываю видео работы с 20Мгц процессором (есть небольшие баги на изображении при старте; OS запустается только по RESET-у) на других процессорах с меньшей герцовкой этого нет. Можете оценить:)

Тестовая прошивка:
https://www.youtube.com/shorts/dIScCEvdK3s

Операционная система 48к и попытка сымитировать клавиатуру:
https://www.youtube.com/watch?v=zXW695W_JEo

Полезный комментарий + 0 | Бесполезный комментарий - 0
четверг, 21 марта 22:10:07 2024 | ссылка на пост    
Автор: Андрей
Тут тоже напишу, чтобы поделится, а то некоторые переписки идут на ютубе. Вот схема на просвет: https://www.cxemateka.ru/v1/LeningradMONTGray.png, у меня такая же плата с небольшими изменениями. В этом варианте схемы: https://www.cxemateka.ru/v1/LeningradSCH180dpi.gif D32 как раз ИР22. На схеме на просвет видно, что у 10 и 11 ножек переходные отверстия соприкасаются с другими дорожками. У меня на плате дорожка у 10 ноги отодвинута, а вот 11 прямо соприкасалась с переходным. Я ножом срезал кусок переходного отверстия 11 ноги и устранил эту проблему. Там где D32 (КП13) это второй вариант схемы: https://www.cxemateka.ru/v1/leningrad_sch.pdf - там обозначения отличаются
Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 23 марта 14:37:29 2024 | ссылка на пост    
Автор: Андрей
Теперь осталось сделать: подключение клавиатуры (хочу PS/2), доробатка до 128к и подключение музыкального сопроцессора.

У меня несколько штук AY-3-8910 и YM2149F. Я пробовал их через ардуино, - вроде бы работают. Вот результат:
https://youtu.be/ZDWXrjl9sS4?si=Q13qXJkX2vmKcy4o

Полезный комментарий + 0 | Бесполезный комментарий - 0
суббота, 23 марта 14:45:46 2024 | ссылка на пост    
Автор: Андрей
Всем доброго дня! Хотел поделиться прогрессом. Спаял кустарную клавиатуру для тестов, - теперь и я могу полностью погрузиться в мир восьмибитных программ:) Оказывается, что баг с рисованием окружностей был уже исправлен в этой покупной плате.
https://youtube.com/shorts/pzDG6-4icpc?si=F2zab43g6CWaYSHk

Полезный комментарий + 0 | Бесполезный комментарий - 0
воскресенье, 24 марта 15:05:58 2024 | ссылка на пост    

Просмотров страницы: 1,146,919

Имя:
E-mail (можно не указывать):
Сообщение:
Чтобы оставлять сообщения на нашем сайте регистрироваться необязательно, однако регистрация дает ряд преимуществ: возможность вступать в личную переписку с другими пользователями системы, добавлять фотографии/картинки в свой профиль, публиковать сообщения на своей собственной персональной страничке и д.р.

Программирование, оформление: Константин Айги
©2016 CXEMATEKA.RU